https://launchpad.net/ubuntu/+source/ghdl/4.0.0+dfsg-0ubuntu2/+build/27959377 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux bos02-s390x-016 5.4.0-173-generic #191-Ubuntu SMP Fri Feb 2 13:54:49 UTC 2024 s390x Buildd toolchain package versions: launchpad-buildd_236~650~ubuntu20.04.1 python3-lpbuildd_236~650~ubuntu20.04.1 sbuild_0.79.0-1ubuntu1 git-build-recipe_0.3.6 git_1:2.25.1-1ubuntu3.11 dpkg-dev_1.19.7ubuntu3.2 python3-debian_0.1.36ubuntu1.1. Syncing the system clock with the buildd NTP service... 25 Mar 14:02:25 ntpdate[1973]: adjust time server 10.211.37.1 offset 0.001284 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=noble --arch=s390x PACKAGEBUILD-27959377 --image-type chroot /home/buildd/filecache-default/c23f799bb684944311373fdcead5a58221fa6ef7 Creating target for build PACKAGEBUILD-27959377 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=noble --arch=s390x PACKAGEBUILD-27959377 Starting target for build PACKAGEBUILD-27959377 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=noble --arch=s390x PACKAGEBUILD-27959377 'deb http://ftpmaster.internal/ubuntu noble main universe' 'deb http://ftpmaster.internal/ubuntu noble-security main universe' 'deb http://ftpmaster.internal/ubuntu noble-updates main universe' 'deb http://ftpmaster.internal/ubuntu noble-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-27959377 RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=noble --arch=s390x PACKAGEBUILD-27959377 Updating target for build PACKAGEBUILD-27959377 Get:1 http://ftpmaster.internal/ubuntu noble InRelease [255 kB] Get:2 http://ftpmaster.internal/ubuntu noble-security InRelease [90.7 kB] Get:3 http://ftpmaster.internal/ubuntu noble-updates InRelease [90.7 kB] Get:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] Get:5 http://ftpmaster.internal/ubuntu noble/main s390x Packages [1365 kB] Get:6 http://ftpmaster.internal/ubuntu noble/main Translation-en [518 kB] Get:7 http://ftpmaster.internal/ubuntu noble/universe s390x Packages [14.4 MB] Get:8 http://ftpmaster.internal/ubuntu noble/universe Translation-en [6036 kB] Get:9 http://ftpmaster.internal/ubuntu noble-proposed/main s390x Packages [693 kB] Get:10 http://ftpmaster.internal/ubuntu noble-proposed/main Translation-en [246 kB] Get:11 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x Packages [4171 kB] Get:12 http://ftpmaster.internal/ubuntu noble-proposed/universe Translation-en [1629 kB] Fetched 29.6 MB in 15s (1951 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: libnsl-dev libperl5.36 libtirpc-dev libunistring2 perl-modules-5.36 Use 'sudo apt autoremove' to remove them. The following packages will be REMOVED: libapt-pkg6.0* libdb5.3* libext2fs2* libgdbm-compat4* libgdbm6* libnettle8* libnpth0* libreadline8* libssl3* libtirpc3* usrmerge* The following NEW packages will be installed: cpp-13-s390x-linux-gnu cpp-s390x-linux-gnu g++-13-s390x-linux-gnu g++-s390x-linux-gnu gcc-13-s390x-linux-gnu gcc-14-base gcc-s390x-linux-gnu libapt-pkg6.0t64 libdb5.3t64 libext2fs2t64 libgdbm-compat4t64 libgdbm6t64 libnettle8t64 libnpth0t64 libperl5.38t64 libreadline8t64 libssl3t64 libtirpc3t64 libunistring5 perl-modules-5.38 The following packages have been kept back: apt apt-utils optipng The following packages will be upgraded: base-files base-passwd bash bash-completion binutils binutils-common binutils-s390x-linux-gnu bsdextrautils bsdutils bzip2 ca-certificates coreutils cpp cpp-13 dash debconf debconf-i18n debianutils diffutils dpkg dpkg-dev e2fsprogs fakeroot g++ g++-13 gcc gcc-13 gcc-13-base gpg gpg-agent gpgconf gpgv grep gzip hostname init init-system-helpers krb5-locales libacl1 libapparmor1 libargon2-1 libasan8 libatomic1 libattr1 libaudit-common libaudit1 libbinutils libblkid1 libbz2-1.0 libc-bin libc-dev-bin libc6 libc6-dev libcap-ng0 libcap2 libcc1-0 libcom-err2 libcrypt-dev libcrypt1 libcryptsetup12 libctf-nobfd0 libctf0 libdebconfclient0 libdevmapper1.02.1 libdpkg-perl libfakeroot libfdisk1 libffi8 libgcc-13-dev libgcc-s1 libgcrypt20 libgnutls30 libgomp1 libgpg-error-l10n libgpg-error0 libgssapi-krb5-2 libidn2-0 libip4tc2 libitm1 libk5crypto3 libkeyutils1 libkmod2 libkrb5-3 libkrb5support0 liblocale-gettext-perl liblzma5 libmd0 libmount1 libncursesw6 libnsl-dev libnsl2 libnss-nisplus libp11-kit0 libpam-modules libpam-modules-bin libpam-runtime libpam0g libpcre2-8-0 libpng16-16 libproc2-0 libseccomp2 libselinux1 libsemanage-common libsemanage2 libsepol2 libsframe1 libsmartcols1 libsqlite3-0 libss2 libstdc++-13-dev libstdc++6 libsystemd-shared libsystemd0 libtext-charwidth-perl libtext-iconv-perl libtinfo6 libtirpc-common libtirpc-dev libubsan1 libudev1 libuuid1 libxxhash0 libzstd1 linux-libc-dev login logsave lto-disabled-list mawk mount ncurses-base ncurses-bin openssl passwd perl perl-base pinentry-curses procps psmisc readline-common sed sensible-utils systemd systemd-dev systemd-sysv sysvinit-utils tar tzdata ubuntu-keyring util-linux uuid-runtime xz-utils zlib1g 152 upgraded, 20 newly installed, 11 to remove and 3 not upgraded. Need to get 103 MB of archives. After this operation, 75.9 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libnsl-dev s390x 1.3.0-3build2 [73.1 kB] Get:2 http://ftpmaster.internal/ubuntu noble/main s390x libcrypt-dev s390x 1:4.4.36-4 [135 kB] Get:3 http://ftpmaster.internal/ubuntu noble/main s390x libc6-dev s390x 2.39-0ubuntu6 [1629 kB] Get:4 http://ftpmaster.internal/ubuntu noble/main s390x libc-dev-bin s390x 2.39-0ubuntu6 [20.2 kB] Get:5 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libtirpc-common all 1.3.4+ds-1.1 [8018 B] Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libtirpc-dev s390x 1.3.4+ds-1.1 [197 kB] Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libnss-nisplus s390x 1.3-5 [23.6 kB] Get:8 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libtirpc3t64 s390x 1.3.4+ds-1.1 [85.8 kB] Get:9 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgssapi-krb5-2 s390x 1.20.1-6ubuntu1 [149 kB] Get:10 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libkrb5-3 s390x 1.20.1-6ubuntu1 [360 kB] Get:11 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libk5crypto3 s390x 1.20.1-6ubuntu1 [90.3 kB] Get:12 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libkrb5support0 s390x 1.20.1-6ubuntu1 [34.6 kB] Get:13 http://ftpmaster.internal/ubuntu noble/main s390x libacl1 s390x 2.3.2-1 [18.0 kB] Get:14 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libapparmor1 s390x 4.0.0-beta3-0ubuntu2 [50.8 kB] Get:15 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libaudit-common all 1:3.1.2-2.1 [5674 B] Get:16 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libcap-ng0 s390x 0.8.4-2build1 [15.7 kB] Get:17 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libaudit1 s390x 1:3.1.2-2.1 [48.9 kB] Get:18 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libblkid1 s390x 2.39.3-9ubuntu2 [128 kB] Get:19 http://ftpmaster.internal/ubuntu noble/main s390x libcap2 s390x 1:2.66-5ubuntu1 [31.7 kB] Get:20 http://ftpmaster.internal/ubuntu noble/main s390x libcrypt1 s390x 1:4.4.36-4 [102 kB] Get:21 http://ftpmaster.internal/ubuntu noble/main s390x libgpg-error-l10n all 1.47-3build1 [8024 B] Get:22 http://ftpmaster.internal/ubuntu noble/main s390x libgpg-error0 s390x 1.47-3build1 [75.6 kB] Get:23 http://ftpmaster.internal/ubuntu noble/main s390x libgcrypt20 s390x 1.10.3-2 [499 kB] Get:24 http://ftpmaster.internal/ubuntu noble-proposed/main s390x liblzma5 s390x 5.6.0-0.2 [137 kB] Get:25 http://ftpmaster.internal/ubuntu noble/main s390x libzstd1 s390x 1.5.5+dfsg2-2 [336 kB] Get:26 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libkmod2 s390x 31+20240202-2ubuntu4 [56.3 kB] Get:27 http://ftpmaster.internal/ubuntu noble/main s390x libpcre2-8-0 s390x 10.42-4ubuntu1 [243 kB] Get:28 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libselinux1 s390x 3.5-2ubuntu1 [84.7 kB] Get:29 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libmount1 s390x 2.39.3-9ubuntu2 [138 kB] Get:30 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl-modules-5.38 all 5.38.2-3.2 [3110 kB] Get:31 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdb5.3t64 s390x 5.3.28+dfsg2-6 [763 kB] Get:32 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgdbm6t64 s390x 1.23-5.1 [36.4 kB] Get:33 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgdbm-compat4t64 s390x 1.23-5.1 [6880 B] Get:34 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libperl5.38t64 s390x 5.38.2-3.2 [5007 kB] Get:35 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl s390x 5.38.2-3.2 [231 kB] Get:36 http://ftpmaster.internal/ubuntu noble-proposed/main s390x perl-base s390x 5.38.2-3.2 [1961 kB] Get:37 http://ftpmaster.internal/ubuntu noble-proposed/main s390x liblocale-gettext-perl s390x 1.07-6ubuntu4 [15.8 kB] Get:38 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libtext-iconv-perl s390x 1.7-8build2 [13.8 kB] Get:39 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libtext-charwidth-perl s390x 0.04-11build2 [9484 B] Get:40 http://ftpmaster.internal/ubuntu noble/main s390x libc6 s390x 2.39-0ubuntu6 [2847 kB] Get:41 http://ftpmaster.internal/ubuntu noble/main s390x libc-bin s390x 2.39-0ubuntu6 [654 kB] Get:42 http://ftpmaster.internal/ubuntu noble-proposed/main s390x openssl s390x 3.0.13-0ubuntu2 [1010 kB] Get:43 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsystemd-shared s390x 255.4-1ubuntu5 [2131 kB] Get:44 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libcryptsetup12 s390x 2:2.7.0-1ubuntu2 [264 kB] Get:45 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libssl3t64 s390x 3.0.13-0ubuntu2 [1675 kB] Get:46 http://ftpmaster.internal/ubuntu noble-proposed/main s390x systemd-dev all 255.4-1ubuntu5 [103 kB] Get:47 http://ftpmaster.internal/ubuntu noble-proposed/main s390x systemd-sysv s390x 255.4-1ubuntu5 [11.9 kB] Get:48 http://ftpmaster.internal/ubuntu noble-proposed/main s390x systemd s390x 255.4-1ubuntu5 [3533 kB] Get:49 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsystemd0 s390x 255.4-1ubuntu5 [443 kB] Get:50 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpam-modules-bin s390x 1.5.3-5ubuntu3 [57.4 kB] Get:51 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpam-modules s390x 1.5.3-5ubuntu3 [289 kB] Get:52 http://ftpmaster.internal/ubuntu noble/main s390x bzip2 s390x 1.0.8-5ubuntu1 [35.5 kB] Get:53 http://ftpmaster.internal/ubuntu noble/main s390x libbz2-1.0 s390x 1.0.8-5ubuntu1 [40.1 kB] Get:54 http://ftpmaster.internal/ubuntu noble-proposed/main s390x zlib1g s390x 1:1.3.dfsg-3.1ubuntu1 [75.7 kB] Get:55 http://ftpmaster.internal/ubuntu noble/main s390x debconf-i18n all 1.5.86 [205 kB] Get:56 http://ftpmaster.internal/ubuntu noble/main s390x debconf all 1.5.86 [124 kB] Get:57 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpam0g s390x 1.5.3-5ubuntu3 [69.8 kB] Get:58 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libseccomp2 s390x 2.5.5-1ubuntu2 [53.4 kB] Get:59 http://ftpmaster.internal/ubuntu noble/main s390x libargon2-1 s390x 0~20190702+dfsg-4 [53.1 kB] Get:60 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libudev1 s390x 255.4-1ubuntu5 [178 kB] Get:61 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdevmapper1.02.1 s390x 2:1.02.185-3ubuntu2 [142 kB] Get:62 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libuuid1 s390x 2.39.3-9ubuntu2 [35.6 kB] Get:63 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libfdisk1 s390x 2.39.3-9ubuntu2 [151 kB] Get:64 http://ftpmaster.internal/ubuntu noble-proposed/main s390x mount s390x 2.39.3-9ubuntu2 [119 kB] Get:65 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libcom-err2 s390x 1.47.0-2.4~exp1ubuntu2 [22.9 kB] Get:66 http://ftpmaster.internal/ubuntu noble/main s390x libkeyutils1 s390x 1.6.3-3 [9448 B] Get:67 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libnsl2 s390x 1.3.0-3build2 [44.1 kB] Get:68 http://ftpmaster.internal/ubuntu noble-proposed/main s390x linux-libc-dev s390x 6.8.0-20.20 [1592 kB] Get:69 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gcc-14-base s390x 14-20240315-1ubuntu1 [47.0 kB] Get:70 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgcc-s1 s390x 14-20240315-1ubuntu1 [35.9 kB] Get:71 http://ftpmaster.internal/ubuntu noble/main s390x base-files s390x 13ubuntu7 [74.2 kB] Get:72 http://ftpmaster.internal/ubuntu noble/main s390x debianutils s390x 5.17 [90.1 kB] Get:73 http://ftpmaster.internal/ubuntu noble/main s390x bash s390x 5.2.21-2ubuntu2 [845 kB] Get:74 http://ftpmaster.internal/ubuntu noble-proposed/main s390x bsdutils s390x 1:2.39.3-9ubuntu2 [96.1 kB] Get:75 http://ftpmaster.internal/ubuntu noble-proposed/main s390x coreutils s390x 9.4-3ubuntu3 [1482 kB] Get:76 http://ftpmaster.internal/ubuntu noble/main s390x libp11-kit0 s390x 0.25.3-4ubuntu1 [318 kB] Get:77 http://ftpmaster.internal/ubuntu noble/main s390x libunistring5 s390x 1.1-2 [544 kB] Get:78 http://ftpmaster.internal/ubuntu noble/main s390x libgnutls30 s390x 3.8.3-1ubuntu1 [944 kB] Get:79 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libnettle8t64 s390x 3.9.1-2.2 [210 kB] Get:80 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libstdc++6 s390x 14-20240315-1ubuntu1 [908 kB] Get:81 http://ftpmaster.internal/ubuntu noble/main s390x libxxhash0 s390x 0.8.2-2 [23.6 kB] Get:82 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libapt-pkg6.0t64 s390x 2.7.14 [1014 kB] Get:83 http://ftpmaster.internal/ubuntu noble/main s390x tar s390x 1.35+dfsg-3 [269 kB] Get:84 http://ftpmaster.internal/ubuntu noble-proposed/main s390x dpkg s390x 1.22.6ubuntu4 [1279 kB] Get:85 http://ftpmaster.internal/ubuntu noble/main s390x dash s390x 0.5.12-6ubuntu4 [94.9 kB] Get:86 http://ftpmaster.internal/ubuntu noble/main s390x diffutils s390x 1:3.10-1 [187 kB] Get:87 http://ftpmaster.internal/ubuntu noble/main s390x grep s390x 3.11-4 [189 kB] Get:88 http://ftpmaster.internal/ubuntu noble/main s390x gzip s390x 1.12-1ubuntu2 [106 kB] Get:89 http://ftpmaster.internal/ubuntu noble/main s390x hostname s390x 3.23+nmu2ubuntu1 [11.1 kB] Get:90 http://ftpmaster.internal/ubuntu noble/main s390x login s390x 1:4.13+dfsg1-4ubuntu1 [202 kB] Get:91 http://ftpmaster.internal/ubuntu noble/main s390x ncurses-bin s390x 6.4+20240113-1ubuntu1 [198 kB] Get:92 http://ftpmaster.internal/ubuntu noble/main s390x sed s390x 4.9-2 [207 kB] Get:93 http://ftpmaster.internal/ubuntu noble-proposed/main s390x util-linux s390x 2.39.3-9ubuntu2 [1143 kB] Get:94 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdebconfclient0 s390x 0.271ubuntu2 [11.4 kB] Get:95 http://ftpmaster.internal/ubuntu noble/main s390x base-passwd s390x 3.6.3 [51.1 kB] Get:96 http://ftpmaster.internal/ubuntu noble/main s390x init-system-helpers all 1.66ubuntu1 [39.4 kB] Get:97 http://ftpmaster.internal/ubuntu noble/main s390x ncurses-base all 6.4+20240113-1ubuntu1 [25.4 kB] Get:98 http://ftpmaster.internal/ubuntu noble/main s390x sysvinit-utils s390x 3.08-6ubuntu2 [34.7 kB] Get:99 http://ftpmaster.internal/ubuntu noble-proposed/main s390x logsave s390x 1.47.0-2.4~exp1ubuntu2 [22.5 kB] Get:100 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libext2fs2t64 s390x 1.47.0-2.4~exp1ubuntu2 [235 kB] Get:101 http://ftpmaster.internal/ubuntu noble-proposed/main s390x e2fsprogs s390x 1.47.0-2.4~exp1ubuntu2 [615 kB] Get:102 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gpg s390x 2.4.4-2ubuntu15 [589 kB] Get:103 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libreadline8t64 s390x 8.2-4 [170 kB] Get:104 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gpgconf s390x 2.4.4-2ubuntu15 [111 kB] Get:105 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gpg-agent s390x 2.4.4-2ubuntu15 [240 kB] Get:106 http://ftpmaster.internal/ubuntu noble/main s390x libnpth0t64 s390x 1.6-3.1 [8148 B] Get:107 http://ftpmaster.internal/ubuntu noble-proposed/main s390x readline-common all 8.2-4 [56.4 kB] Get:108 http://ftpmaster.internal/ubuntu noble/main s390x libncursesw6 s390x 6.4+20240113-1ubuntu1 [161 kB] Get:109 http://ftpmaster.internal/ubuntu noble/main s390x libtinfo6 s390x 6.4+20240113-1ubuntu1 [117 kB] Get:110 http://ftpmaster.internal/ubuntu noble/main s390x init s390x 1.66ubuntu1 [6188 B] Get:111 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsmartcols1 s390x 2.39.3-9ubuntu2 [67.9 kB] Get:112 http://ftpmaster.internal/ubuntu noble-proposed/main s390x uuid-runtime s390x 2.39.3-9ubuntu2 [33.4 kB] Get:113 http://ftpmaster.internal/ubuntu noble/main s390x libattr1 s390x 1:2.5.2-1 [11.7 kB] Get:114 http://ftpmaster.internal/ubuntu noble/main s390x libmd0 s390x 1.1.0-2 [24.7 kB] Get:115 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpam-runtime all 1.5.3-5ubuntu3 [40.8 kB] Get:116 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsemanage-common all 3.5-1build4 [10.1 kB] Get:117 http://ftpmaster.internal/ubuntu noble/main s390x libsepol2 s390x 3.5-2 [308 kB] Get:118 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsemanage2 s390x 3.5-1build4 [96.7 kB] Get:119 http://ftpmaster.internal/ubuntu noble/main s390x passwd s390x 1:4.13+dfsg1-4ubuntu1 [857 kB] Get:120 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gpgv s390x 2.4.4-2ubuntu15 [165 kB] Get:121 http://ftpmaster.internal/ubuntu noble/main s390x libffi8 s390x 3.4.6-1 [23.0 kB] Get:122 http://ftpmaster.internal/ubuntu noble/main s390x libidn2-0 s390x 2.3.7-2 [67.2 kB] Get:123 http://ftpmaster.internal/ubuntu noble/main s390x ubuntu-keyring all 2023.11.28.1 [11.1 kB] Get:124 http://ftpmaster.internal/ubuntu noble-proposed/main s390x g++-13 s390x 13.2.0-21ubuntu1 [14.4 kB] Get:125 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gcc-13 s390x 13.2.0-21ubuntu1 [469 kB] Get:126 http://ftpmaster.internal/ubuntu noble-proposed/main s390x cpp-13 s390x 13.2.0-21ubuntu1 [1026 B] Get:127 http://ftpmaster.internal/ubuntu noble-proposed/main s390x cpp-13-s390x-linux-gnu s390x 13.2.0-21ubuntu1 [9935 kB] Get:128 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libcc1-0 s390x 14-20240315-1ubuntu1 [50.0 kB] Get:129 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libctf0 s390x 2.42-4ubuntu1 [98.4 kB] Get:130 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libctf-nobfd0 s390x 2.42-4ubuntu1 [100 kB] Get:131 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsframe1 s390x 2.42-4ubuntu1 [14.2 kB] Get:132 http://ftpmaster.internal/ubuntu noble-proposed/main s390x binutils-s390x-linux-gnu s390x 2.42-4ubuntu1 [2270 kB] Get:133 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libbinutils s390x 2.42-4ubuntu1 [477 kB] Get:134 http://ftpmaster.internal/ubuntu noble-proposed/main s390x binutils s390x 2.42-4ubuntu1 [3056 B] Get:135 http://ftpmaster.internal/ubuntu noble-proposed/main s390x binutils-common s390x 2.42-4ubuntu1 [217 kB] Get:136 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libstdc++-13-dev s390x 13.2.0-21ubuntu1 [2494 kB] Get:137 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgomp1 s390x 14-20240315-1ubuntu1 [151 kB] Get:138 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libitm1 s390x 14-20240315-1ubuntu1 [31.1 kB] Get:139 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libatomic1 s390x 14-20240315-1ubuntu1 [9396 B] Get:140 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libasan8 s390x 14-20240315-1ubuntu1 [2997 kB] Get:141 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libubsan1 s390x 14-20240315-1ubuntu1 [1186 kB] Get:142 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgcc-13-dev s390x 13.2.0-21ubuntu1 [1003 kB] Get:143 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gcc-13-s390x-linux-gnu s390x 13.2.0-21ubuntu1 [19.1 MB] Get:144 http://ftpmaster.internal/ubuntu noble-proposed/main s390x g++-13-s390x-linux-gnu s390x 13.2.0-21ubuntu1 [11.3 MB] Get:145 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gcc-13-base s390x 13.2.0-21ubuntu1 [48.3 kB] Get:146 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libproc2-0 s390x 2:4.0.4-4ubuntu2 [60.1 kB] Get:147 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libss2 s390x 1.47.0-2.4~exp1ubuntu2 [17.2 kB] Get:148 http://ftpmaster.internal/ubuntu noble/main s390x mawk s390x 1.3.4.20240123-1 [133 kB] Get:149 http://ftpmaster.internal/ubuntu noble-proposed/main s390x procps s390x 2:4.0.4-4ubuntu2 [724 kB] Get:150 http://ftpmaster.internal/ubuntu noble/main s390x sensible-utils all 0.0.22 [22.5 kB] Get:151 http://ftpmaster.internal/ubuntu noble/main s390x ca-certificates all 20240203 [159 kB] Get:152 http://ftpmaster.internal/ubuntu noble-proposed/main s390x krb5-locales all 1.20.1-6ubuntu1 [13.8 kB] Get:153 http://ftpmaster.internal/ubuntu noble/main s390x libip4tc2 s390x 1.8.10-3ubuntu1 [24.2 kB] Get:154 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsqlite3-0 s390x 3.45.1-1ubuntu1 [747 kB] Get:155 http://ftpmaster.internal/ubuntu noble/main s390x tzdata all 2024a-1ubuntu1 [273 kB] Get:156 http://ftpmaster.internal/ubuntu noble/main s390x bash-completion all 1:2.11-8 [180 kB] Get:157 http://ftpmaster.internal/ubuntu noble-proposed/main s390x bsdextrautils s390x 2.39.3-9ubuntu2 [76.3 kB] Get:158 http://ftpmaster.internal/ubuntu noble/main s390x libpng16-16 s390x 1.6.43-1 [200 kB] Get:159 http://ftpmaster.internal/ubuntu noble-proposed/main s390x psmisc s390x 23.7-1 [178 kB] Get:160 http://ftpmaster.internal/ubuntu noble-proposed/main s390x xz-utils s390x 5.6.0-0.2 [274 kB] Get:161 http://ftpmaster.internal/ubuntu noble/main s390x g++ s390x 4:13.2.0-7ubuntu1 [1096 B] Get:162 http://ftpmaster.internal/ubuntu noble/main s390x gcc s390x 4:13.2.0-7ubuntu1 [5014 B] Get:163 http://ftpmaster.internal/ubuntu noble/main s390x cpp s390x 4:13.2.0-7ubuntu1 [22.4 kB] Get:164 http://ftpmaster.internal/ubuntu noble/main s390x cpp-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [5308 B] Get:165 http://ftpmaster.internal/ubuntu noble/main s390x gcc-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [1208 B] Get:166 http://ftpmaster.internal/ubuntu noble/main s390x g++-s390x-linux-gnu s390x 4:13.2.0-7ubuntu1 [956 B] Get:167 http://ftpmaster.internal/ubuntu noble-proposed/main s390x dpkg-dev all 1.22.6ubuntu4 [1074 kB] Get:168 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdpkg-perl all 1.22.6ubuntu4 [268 kB] Get:169 http://ftpmaster.internal/ubuntu noble/main s390x lto-disabled-list all 47 [12.4 kB] Get:170 http://ftpmaster.internal/ubuntu noble/main s390x libfakeroot s390x 1.33-1 [31.9 kB] Get:171 http://ftpmaster.internal/ubuntu noble/main s390x fakeroot s390x 1.33-1 [67.5 kB] Get:172 http://ftpmaster.internal/ubuntu noble-proposed/main s390x pinentry-curses s390x 1.2.1-3ubuntu4 [37.6 kB] Preconfiguring packages ... Fetched 103 MB in 10s (10.5 MB/s) (Reading database ... 13395 files and directories currently installed.) Preparing to unpack .../0-libnsl-dev_1.3.0-3build2_s390x.deb ... Unpacking libnsl-dev:s390x (1.3.0-3build2) over (1.3.0-2build2) ... Preparing to unpack .../1-libcrypt-dev_1%3a4.4.36-4_s390x.deb ... Unpacking libcrypt-dev:s390x (1:4.4.36-4) over (1:4.4.36-2) ... Preparing to unpack .../2-libc6-dev_2.39-0ubuntu6_s390x.deb ... Unpacking libc6-dev:s390x (2.39-0ubuntu6) over (2.38-1ubuntu6) ... Preparing to unpack .../3-libc-dev-bin_2.39-0ubuntu6_s390x.deb ... Unpacking libc-dev-bin (2.39-0ubuntu6) over (2.38-1ubuntu6) ... Preparing to unpack .../4-libtirpc-common_1.3.4+ds-1.1_all.deb ... Unpacking libtirpc-common (1.3.4+ds-1.1) over (1.3.3+ds-1) ... Preparing to unpack .../5-libtirpc-dev_1.3.4+ds-1.1_s390x.deb ... Unpacking libtirpc-dev:s390x (1.3.4+ds-1.1) over (1.3.3+ds-1) ... Preparing to unpack .../6-libnss-nisplus_1.3-5_s390x.deb ... Unpacking libnss-nisplus:s390x (1.3-5) over (1.3-0ubuntu6) ... dpkg: libtirpc3:s390x: dependency problems, but removing anyway as you requested: libnsl2:s390x depends on libtirpc3 (>= 1.0.2). (Reading database ... 13398 files and directories currently installed.) Removing libtirpc3:s390x (1.3.3+ds-1) ... Selecting previously unselected package libtirpc3t64:s390x. (Reading database ... 13392 files and directories currently installed.) Preparing to unpack .../0-libtirpc3t64_1.3.4+ds-1.1_s390x.deb ... Adding 'diversion of /lib/s390x-linux-gnu/libtirpc.so.3 to /lib/s390x-linux-gnu/libtirpc.so.3.usr-is-merged by libtirpc3t64' Adding 'diversion of /lib/s390x-linux-gnu/libtirpc.so.3.0.0 to /lib/s390x-linux-gnu/libtirpc.so.3.0.0.usr-is-merged by libtirpc3t64' Unpacking libtirpc3t64:s390x (1.3.4+ds-1.1) ... Preparing to unpack .../1-libgssapi-krb5-2_1.20.1-6ubuntu1_s390x.deb ... Unpacking libgssapi-krb5-2:s390x (1.20.1-6ubuntu1) over (1.20.1-3ubuntu1) ... Preparing to unpack .../2-libkrb5-3_1.20.1-6ubuntu1_s390x.deb ... Unpacking libkrb5-3:s390x (1.20.1-6ubuntu1) over (1.20.1-3ubuntu1) ... Preparing to unpack .../3-libk5crypto3_1.20.1-6ubuntu1_s390x.deb ... Unpacking libk5crypto3:s390x (1.20.1-6ubuntu1) over (1.20.1-3ubuntu1) ... Preparing to unpack .../4-libkrb5support0_1.20.1-6ubuntu1_s390x.deb ... Unpacking libkrb5support0:s390x (1.20.1-6ubuntu1) over (1.20.1-3ubuntu1) ... Preparing to unpack .../5-libacl1_2.3.2-1_s390x.deb ... Unpacking libacl1:s390x (2.3.2-1) over (2.3.1-3) ... Setting up libacl1:s390x (2.3.2-1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libapparmor1_4.0.0-beta3-0ubuntu2_s390x.deb ... Unpacking libapparmor1:s390x (4.0.0-beta3-0ubuntu2) over (4.0.0~alpha2-0ubuntu5) ... Preparing to unpack .../libaudit-common_1%3a3.1.2-2.1_all.deb ... Unpacking libaudit-common (1:3.1.2-2.1) over (1:3.1.1-1) ... Setting up libaudit-common (1:3.1.2-2.1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libcap-ng0_0.8.4-2build1_s390x.deb ... Unpacking libcap-ng0:s390x (0.8.4-2build1) over (0.8.3-1build2) ... Setting up libcap-ng0:s390x (0.8.4-2build1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a3.1.2-2.1_s390x.deb ... Unpacking libaudit1:s390x (1:3.1.2-2.1) over (1:3.1.1-1) ... Setting up libaudit1:s390x (1:3.1.2-2.1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libblkid1_2.39.3-9ubuntu2_s390x.deb ... Unpacking libblkid1:s390x (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Setting up libblkid1:s390x (2.39.3-9ubuntu2) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libcap2_1%3a2.66-5ubuntu1_s390x.deb ... Unpacking libcap2:s390x (1:2.66-5ubuntu1) over (1:2.66-4ubuntu1) ... Setting up libcap2:s390x (1:2.66-5ubuntu1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libcrypt1_1%3a4.4.36-4_s390x.deb ... Unpacking libcrypt1:s390x (1:4.4.36-4) over (1:4.4.36-2) ... Setting up libcrypt1:s390x (1:4.4.36-4) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libgpg-error-l10n_1.47-3build1_all.deb ... Unpacking libgpg-error-l10n (1.47-3build1) over (1.47-2) ... Preparing to unpack .../libgpg-error0_1.47-3build1_s390x.deb ... Unpacking libgpg-error0:s390x (1.47-3build1) over (1.47-2) ... Setting up libgpg-error0:s390x (1.47-3build1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.10.3-2_s390x.deb ... Unpacking libgcrypt20:s390x (1.10.3-2) over (1.10.2-3ubuntu1) ... Setting up libgcrypt20:s390x (1.10.3-2) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../liblzma5_5.6.0-0.2_s390x.deb ... Unpacking liblzma5:s390x (5.6.0-0.2) over (5.4.1-0.2) ... Setting up liblzma5:s390x (5.6.0-0.2) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libzstd1_1.5.5+dfsg2-2_s390x.deb ... Unpacking libzstd1:s390x (1.5.5+dfsg2-2) over (1.5.5+dfsg2-1ubuntu2) ... Setting up libzstd1:s390x (1.5.5+dfsg2-2) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libkmod2_31+20240202-2ubuntu4_s390x.deb ... Unpacking libkmod2:s390x (31+20240202-2ubuntu4) over (30+20230519-1ubuntu3) ... Preparing to unpack .../libpcre2-8-0_10.42-4ubuntu1_s390x.deb ... Unpacking libpcre2-8-0:s390x (10.42-4ubuntu1) over (10.42-4) ... Setting up libpcre2-8-0:s390x (10.42-4ubuntu1) ... (Reading database ... 13403 files and directories currently installed.) Preparing to unpack .../libselinux1_3.5-2ubuntu1_s390x.deb ... Unpacking libselinux1:s390x (3.5-2ubuntu1) over (3.5-1) ... Setting up libselinux1:s390x (3.5-2ubuntu1) ... (Reading database ... 13404 files and directories currently installed.) Preparing to unpack .../libmount1_2.39.3-9ubuntu2_s390x.deb ... Unpacking libmount1:s390x (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Setting up libmount1:s390x (2.39.3-9ubuntu2) ... (Reading database ... 13404 files and directories currently installed.) Preparing to unpack .../perl_5.38.2-3.2_s390x.deb ... Unpacking perl (5.38.2-3.2) over (5.36.0-9ubuntu1) ... Selecting previously unselected package perl-modules-5.38. Preparing to unpack .../perl-modules-5.38_5.38.2-3.2_all.deb ... Unpacking perl-modules-5.38 (5.38.2-3.2) ... dpkg: libdb5.3:s390x: dependency problems, but removing anyway as you requested: libperl5.36:s390x depends on libdb5.3. libpam-modules:s390x depends on libdb5.3. apt-utils depends on libdb5.3. (Reading database ... 14816 files and directories currently installed.) Removing libdb5.3:s390x (5.3.28+dfsg2-2) ... Selecting previously unselected package libdb5.3t64:s390x. (Reading database ... 14810 files and directories currently installed.) Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-6_s390x.deb ... Unpacking libdb5.3t64:s390x (5.3.28+dfsg2-6) ... dpkg: libgdbm6:s390x: dependency problems, but removing anyway as you requested: libperl5.36:s390x depends on libgdbm6 (>= 1.21). libgdbm-compat4:s390x depends on libgdbm6 (>= 1.16). (Reading database ... 14816 files and directories currently installed.) Removing libgdbm6:s390x (1.23-3) ... Selecting previously unselected package libgdbm6t64:s390x. (Reading database ... 14811 files and directories currently installed.) Preparing to unpack .../libgdbm6t64_1.23-5.1_s390x.deb ... Unpacking libgdbm6t64:s390x (1.23-5.1) ... dpkg: libgdbm-compat4:s390x: dependency problems, but removing anyway as you requested: libperl5.36:s390x depends on libgdbm-compat4 (>= 1.18-3). (Reading database ... 14817 files and directories currently installed.) Removing libgdbm-compat4:s390x (1.23-3) ... Selecting previously unselected package libgdbm-compat4t64:s390x. (Reading database ... 14812 files and directories currently installed.) Preparing to unpack .../libgdbm-compat4t64_1.23-5.1_s390x.deb ... Unpacking libgdbm-compat4t64:s390x (1.23-5.1) ... Selecting previously unselected package libperl5.38t64:s390x. Preparing to unpack .../libperl5.38t64_5.38.2-3.2_s390x.deb ... Unpacking libperl5.38t64:s390x (5.38.2-3.2) ... Preparing to unpack .../perl-base_5.38.2-3.2_s390x.deb ... Unpacking perl-base (5.38.2-3.2) over (5.36.0-9ubuntu1) ... Setting up perl-base (5.38.2-3.2) ... (Reading database ... 15343 files and directories currently installed.) Preparing to unpack .../liblocale-gettext-perl_1.07-6ubuntu4_s390x.deb ... Unpacking liblocale-gettext-perl (1.07-6ubuntu4) over (1.07-6) ... Preparing to unpack .../libtext-iconv-perl_1.7-8build2_s390x.deb ... Unpacking libtext-iconv-perl:s390x (1.7-8build2) over (1.7-8) ... Preparing to unpack .../libtext-charwidth-perl_0.04-11build2_s390x.deb ... Unpacking libtext-charwidth-perl:s390x (0.04-11build2) over (0.04-11) ... Preparing to unpack .../libc6_2.39-0ubuntu6_s390x.deb ... Unpacking libc6:s390x (2.39-0ubuntu6) over (2.38-1ubuntu6) ... Setting up libc6:s390x (2.39-0ubuntu6) ... (Reading database ... 15345 files and directories currently installed.) Preparing to unpack .../libc-bin_2.39-0ubuntu6_s390x.deb ... Unpacking libc-bin (2.39-0ubuntu6) over (2.38-1ubuntu6) ... Setting up libc-bin (2.39-0ubuntu6) ... (Reading database ... 15345 files and directories currently installed.) Preparing to unpack .../openssl_3.0.13-0ubuntu2_s390x.deb ... Unpacking openssl (3.0.13-0ubuntu2) over (3.0.10-1ubuntu2) ... Preparing to unpack .../libsystemd-shared_255.4-1ubuntu5_s390x.deb ... Unpacking libsystemd-shared:s390x (255.4-1ubuntu5) over (253.5-1ubuntu6) ... Preparing to unpack .../libcryptsetup12_2%3a2.7.0-1ubuntu2_s390x.deb ... Unpacking libcryptsetup12:s390x (2:2.7.0-1ubuntu2) over (2:2.6.1-4ubuntu3) ... dpkg: libssl3:s390x: dependency problems, but removing anyway as you requested: systemd depends on libssl3 (>= 3.0.0). (Reading database ... 15344 files and directories currently installed.) Removing libssl3:s390x (3.0.10-1ubuntu2) ... Selecting previously unselected package libssl3t64:s390x. (Reading database ... 15333 files and directories currently installed.) Preparing to unpack .../libssl3t64_3.0.13-0ubuntu2_s390x.deb ... Unpacking libssl3t64:s390x (3.0.13-0ubuntu2) ... Setting up libssl3t64:s390x (3.0.13-0ubuntu2) ... (Reading database ... 15346 files and directories currently installed.) Preparing to unpack .../systemd-dev_255.4-1ubuntu5_all.deb ... Unpacking systemd-dev (255.4-1ubuntu5) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd-sysv_255.4-1ubuntu5_s390x.deb ... Unpacking systemd-sysv (255.4-1ubuntu5) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd_255.4-1ubuntu5_s390x.deb ... Unpacking systemd (255.4-1ubuntu5) over (253.5-1ubuntu6) ... dpkg: warning: unable to delete old directory '/lib/systemd/system-preset': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system-generators': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@0.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user-.slice.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/timers.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/systemd-localed.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sysinit.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sockets.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rescue.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rc-local.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/multi-user.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-fs.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-device.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/graphical.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/getty.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/network': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/journald.conf.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/modprobe.d': Directory not empty Preparing to unpack .../libsystemd0_255.4-1ubuntu5_s390x.deb ... Unpacking libsystemd0:s390x (255.4-1ubuntu5) over (253.5-1ubuntu6) ... Setting up libsystemd0:s390x (255.4-1ubuntu5) ... (Reading database ... 15485 files and directories currently installed.) Preparing to unpack .../libpam-modules-bin_1.5.3-5ubuntu3_s390x.deb ... Unpacking libpam-modules-bin (1.5.3-5ubuntu3) over (1.5.2-6ubuntu1) ... Setting up libpam-modules-bin (1.5.3-5ubuntu3) ... (Reading database ... 15484 files and directories currently installed.) Preparing to unpack .../libpam-modules_1.5.3-5ubuntu3_s390x.deb ... Unpacking libpam-modules:s390x (1.5.3-5ubuntu3) over (1.5.2-6ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/s390x-linux-gnu/security': Directory not empty Setting up libpam-modules:s390x (1.5.3-5ubuntu3) ... Installing new version of config file /etc/security/namespace.init ... (Reading database ... 15481 files and directories currently installed.) Preparing to unpack .../bzip2_1.0.8-5ubuntu1_s390x.deb ... Unpacking bzip2 (1.0.8-5ubuntu1) over (1.0.8-5build1) ... dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') Preparing to unpack .../libbz2-1.0_1.0.8-5ubuntu1_s390x.deb ... Unpacking libbz2-1.0:s390x (1.0.8-5ubuntu1) over (1.0.8-5build1) ... Setting up libbz2-1.0:s390x (1.0.8-5ubuntu1) ... (Reading database ... 15481 files and directories currently installed.) Preparing to unpack .../zlib1g_1%3a1.3.dfsg-3.1ubuntu1_s390x.deb ... Unpacking zlib1g:s390x (1:1.3.dfsg-3.1ubuntu1) over (1:1.2.13.dfsg-1ubuntu5) ... Setting up zlib1g:s390x (1:1.3.dfsg-3.1ubuntu1) ... (Reading database ... 15481 files and directories currently installed.) Preparing to unpack .../debconf-i18n_1.5.86_all.deb ... Unpacking debconf-i18n (1.5.86) over (1.5.82) ... Preparing to unpack .../debconf_1.5.86_all.deb ... Unpacking debconf (1.5.86) over (1.5.82) ... Setting up debconf (1.5.86) ... Installing new version of config file /etc/debconf.conf ... (Reading database ... 15480 files and directories currently installed.) Preparing to unpack .../libpam0g_1.5.3-5ubuntu3_s390x.deb ... Unpacking libpam0g:s390x (1.5.3-5ubuntu3) over (1.5.2-6ubuntu1) ... Setting up libpam0g:s390x (1.5.3-5ubuntu3) ... (Reading database ... 15479 files and directories currently installed.) Preparing to unpack .../libseccomp2_2.5.5-1ubuntu2_s390x.deb ... Unpacking libseccomp2:s390x (2.5.5-1ubuntu2) over (2.5.4-1ubuntu3) ... Setting up libseccomp2:s390x (2.5.5-1ubuntu2) ... (Reading database ... 15479 files and directories currently installed.) Preparing to unpack .../libargon2-1_0~20190702+dfsg-4_s390x.deb ... Unpacking libargon2-1:s390x (0~20190702+dfsg-4) over (0~20190702+dfsg-3) ... Preparing to unpack .../libudev1_255.4-1ubuntu5_s390x.deb ... Unpacking libudev1:s390x (255.4-1ubuntu5) over (253.5-1ubuntu6) ... Setting up libudev1:s390x (255.4-1ubuntu5) ... (Reading database ... 15479 files and directories currently installed.) Preparing to unpack .../libdevmapper1.02.1_2%3a1.02.185-3ubuntu2_s390x.deb ... Unpacking libdevmapper1.02.1:s390x (2:1.02.185-3ubuntu2) over (2:1.02.185-2ubuntu1) ... Preparing to unpack .../libuuid1_2.39.3-9ubuntu2_s390x.deb ... Unpacking libuuid1:s390x (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Setting up libuuid1:s390x (2.39.3-9ubuntu2) ... (Reading database ... 15479 files and directories currently installed.) Preparing to unpack .../0-libfdisk1_2.39.3-9ubuntu2_s390x.deb ... Unpacking libfdisk1:s390x (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Preparing to unpack .../1-mount_2.39.3-9ubuntu2_s390x.deb ... Unpacking mount (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Preparing to unpack .../2-libcom-err2_1.47.0-2.4~exp1ubuntu2_s390x.deb ... Unpacking libcom-err2:s390x (1.47.0-2.4~exp1ubuntu2) over (1.47.0-2ubuntu1) ... Preparing to unpack .../3-libkeyutils1_1.6.3-3_s390x.deb ... Unpacking libkeyutils1:s390x (1.6.3-3) over (1.6.3-2) ... Preparing to unpack .../4-libnsl2_1.3.0-3build2_s390x.deb ... Unpacking libnsl2:s390x (1.3.0-3build2) over (1.3.0-2build2) ... Preparing to unpack .../5-linux-libc-dev_6.8.0-20.20_s390x.deb ... Unpacking linux-libc-dev:s390x (6.8.0-20.20) over (6.5.0-9.9) ... Selecting previously unselected package gcc-14-base:s390x. Preparing to unpack .../6-gcc-14-base_14-20240315-1ubuntu1_s390x.deb ... Unpacking gcc-14-base:s390x (14-20240315-1ubuntu1) ... Setting up gcc-14-base:s390x (14-20240315-1ubuntu1) ... (Reading database ... 15494 files and directories currently installed.) Preparing to unpack .../libgcc-s1_14-20240315-1ubuntu1_s390x.deb ... Unpacking libgcc-s1:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Setting up libgcc-s1:s390x (14-20240315-1ubuntu1) ... (Reading database ... 15494 files and directories currently installed.) Preparing to unpack .../base-files_13ubuntu7_s390x.deb ... Unpacking base-files (13ubuntu7) over (13ubuntu3) ... Setting up base-files (13ubuntu7) ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... Installing new version of config file /etc/update-motd.d/10-help-text ... (Reading database ... 15503 files and directories currently installed.) Preparing to unpack .../debianutils_5.17_s390x.deb ... Unpacking debianutils (5.17) over (5.8-1) ... Setting up debianutils (5.17) ... (Reading database ... 15502 files and directories currently installed.) Preparing to unpack .../bash_5.2.21-2ubuntu2_s390x.deb ... Unpacking bash (5.2.21-2ubuntu2) over (5.2.15-2ubuntu1) ... Setting up bash (5.2.21-2ubuntu2) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 15502 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.39.3-9ubuntu2_s390x.deb ... Unpacking bsdutils (1:2.39.3-9ubuntu2) over (1:2.39.1-4ubuntu2) ... Setting up bsdutils (1:2.39.3-9ubuntu2) ... (Reading database ... 15502 files and directories currently installed.) Removing usrmerge (35ubuntu1) ... (Reading database ... 15476 files and directories currently installed.) Preparing to unpack .../coreutils_9.4-3ubuntu3_s390x.deb ... Unpacking coreutils (9.4-3ubuntu3) over (9.1-1ubuntu2) ... Setting up coreutils (9.4-3ubuntu3) ... (Reading database ... 15481 files and directories currently installed.) Preparing to unpack .../libp11-kit0_0.25.3-4ubuntu1_s390x.deb ... Unpacking libp11-kit0:s390x (0.25.3-4ubuntu1) over (0.25.0-4ubuntu1) ... Setting up libp11-kit0:s390x (0.25.3-4ubuntu1) ... Selecting previously unselected package libunistring5:s390x. (Reading database ... 15481 files and directories currently installed.) Preparing to unpack .../libunistring5_1.1-2_s390x.deb ... Unpacking libunistring5:s390x (1.1-2) ... Setting up libunistring5:s390x (1.1-2) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../libgnutls30_3.8.3-1ubuntu1_s390x.deb ... Unpacking libgnutls30:s390x (3.8.3-1ubuntu1) over (3.8.1-4ubuntu1) ... Setting up libgnutls30:s390x (3.8.3-1ubuntu1) ... dpkg: libnettle8:s390x: dependency problems, but removing anyway as you requested: libhogweed6:s390x depends on libnettle8. libgnutls30:s390x depends on libnettle8 (>= 3.9~). (Reading database ... 15487 files and directories currently installed.) Removing libnettle8:s390x (3.9.1-2) ... Selecting previously unselected package libnettle8t64:s390x. (Reading database ... 15480 files and directories currently installed.) Preparing to unpack .../libnettle8t64_3.9.1-2.2_s390x.deb ... Unpacking libnettle8t64:s390x (3.9.1-2.2) ... Setting up libnettle8t64:s390x (3.9.1-2.2) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../libstdc++6_14-20240315-1ubuntu1_s390x.deb ... Unpacking libstdc++6:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Setting up libstdc++6:s390x (14-20240315-1ubuntu1) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../libxxhash0_0.8.2-2_s390x.deb ... Unpacking libxxhash0:s390x (0.8.2-2) over (0.8.1-1) ... dpkg: libapt-pkg6.0:s390x: dependency problems, but removing anyway as you requested: apt-utils depends on libapt-pkg6.0 (>= 2.7.3). apt depends on libapt-pkg6.0 (>= 2.7.3). (Reading database ... 15488 files and directories currently installed.) Removing libapt-pkg6.0:s390x (2.7.3) ... Selecting previously unselected package libapt-pkg6.0t64:s390x. (Reading database ... 15439 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0t64_2.7.14_s390x.deb ... Unpacking libapt-pkg6.0t64:s390x (2.7.14) ... Setting up libxxhash0:s390x (0.8.2-2) ... Setting up libapt-pkg6.0t64:s390x (2.7.14) ... (Reading database ... 15489 files and directories currently installed.) Preparing to unpack .../tar_1.35+dfsg-3_s390x.deb ... Unpacking tar (1.35+dfsg-3) over (1.34+dfsg-1.2ubuntu1) ... Setting up tar (1.35+dfsg-3) ... (Reading database ... 15489 files and directories currently installed.) Preparing to unpack .../dpkg_1.22.6ubuntu4_s390x.deb ... Unpacking dpkg (1.22.6ubuntu4) over (1.22.0ubuntu1) ... Setting up dpkg (1.22.6ubuntu4) ... (Reading database ... 15487 files and directories currently installed.) Preparing to unpack .../dash_0.5.12-6ubuntu4_s390x.deb ... Unpacking dash (0.5.12-6ubuntu4) over (0.5.12-6ubuntu1) ... Setting up dash (0.5.12-6ubuntu4) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.10-1_s390x.deb ... Unpacking diffutils (1:3.10-1) over (1:3.8-4) ... Setting up diffutils (1:3.10-1) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../archives/grep_3.11-4_s390x.deb ... Unpacking grep (3.11-4) over (3.11-2) ... Setting up grep (3.11-4) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../gzip_1.12-1ubuntu2_s390x.deb ... Unpacking gzip (1.12-1ubuntu2) over (1.12-1ubuntu1) ... dpkg: warning: old file '/bin/uncompress' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') dpkg: warning: old file '/bin/gunzip' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') Setting up gzip (1.12-1ubuntu2) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../hostname_3.23+nmu2ubuntu1_s390x.deb ... Unpacking hostname (3.23+nmu2ubuntu1) over (3.23+nmu1ubuntu1) ... Setting up hostname (3.23+nmu2ubuntu1) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../login_1%3a4.13+dfsg1-4ubuntu1_s390x.deb ... Unpacking login (1:4.13+dfsg1-4ubuntu1) over (1:4.13+dfsg1-1ubuntu1) ... Setting up login (1:4.13+dfsg1-4ubuntu1) ... Installing new version of config file /etc/login.defs ... Installing new version of config file /etc/pam.d/login ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../ncurses-bin_6.4+20240113-1ubuntu1_s390x.deb ... Unpacking ncurses-bin (6.4+20240113-1ubuntu1) over (6.4+20230625-2) ... Setting up ncurses-bin (6.4+20240113-1ubuntu1) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../archives/sed_4.9-2_s390x.deb ... Unpacking sed (4.9-2) over (4.9-1) ... Setting up sed (4.9-2) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../util-linux_2.39.3-9ubuntu2_s390x.deb ... Unpacking util-linux (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Setting up util-linux (2.39.3-9ubuntu2) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../libdebconfclient0_0.271ubuntu2_s390x.deb ... Unpacking libdebconfclient0:s390x (0.271ubuntu2) over (0.270ubuntu1) ... Setting up libdebconfclient0:s390x (0.271ubuntu2) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../base-passwd_3.6.3_s390x.deb ... Unpacking base-passwd (3.6.3) over (3.6.1) ... Setting up base-passwd (3.6.3) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../init-system-helpers_1.66ubuntu1_all.deb ... Unpacking init-system-helpers (1.66ubuntu1) over (1.65.2ubuntu1) ... Setting up init-system-helpers (1.66ubuntu1) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../ncurses-base_6.4+20240113-1ubuntu1_all.deb ... Unpacking ncurses-base (6.4+20240113-1ubuntu1) over (6.4+20230625-2) ... Setting up ncurses-base (6.4+20240113-1ubuntu1) ... (Reading database ... 15488 files and directories currently installed.) Preparing to unpack .../sysvinit-utils_3.08-6ubuntu2_s390x.deb ... Unpacking sysvinit-utils (3.08-6ubuntu2) over (3.07-1ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/lsb/init-functions.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/lsb': Directory not empty dpkg: warning: unable to delete old directory '/lib/init': Directory not empty Setting up sysvinit-utils (3.08-6ubuntu2) ... (Reading database ... 15486 files and directories currently installed.) Preparing to unpack .../logsave_1.47.0-2.4~exp1ubuntu2_s390x.deb ... Unpacking logsave (1.47.0-2.4~exp1ubuntu2) over (1.47.0-2ubuntu1) ... dpkg: libext2fs2:s390x: dependency problems, but removing anyway as you requested: e2fsprogs depends on libext2fs2 (= 1.47.0-2ubuntu1). (Reading database ... 15486 files and directories currently installed.) Removing libext2fs2:s390x (1.47.0-2ubuntu1) ... Selecting previously unselected package libext2fs2t64:s390x. (Reading database ... 15479 files and directories currently installed.) Preparing to unpack .../libext2fs2t64_1.47.0-2.4~exp1ubuntu2_s390x.deb ... Adding 'diversion of /lib/s390x-linux-gnu/libe2p.so.2 to /lib/s390x-linux-gnu/libe2p.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/s390x-linux-gnu/libe2p.so.2.3 to /lib/s390x-linux-gnu/libe2p.so.2.3.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/s390x-linux-gnu/libext2fs.so.2 to /lib/s390x-linux-gnu/libext2fs.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/s390x-linux-gnu/libext2fs.so.2.4 to /lib/s390x-linux-gnu/libext2fs.so.2.4.usr-is-merged by libext2fs2t64' Unpacking libext2fs2t64:s390x (1.47.0-2.4~exp1ubuntu2) ... Setting up libcom-err2:s390x (1.47.0-2.4~exp1ubuntu2) ... Setting up libext2fs2t64:s390x (1.47.0-2.4~exp1ubuntu2) ... (Reading database ... 15495 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.47.0-2.4~exp1ubuntu2_s390x.deb ... Unpacking e2fsprogs (1.47.0-2.4~exp1ubuntu2) over (1.47.0-2ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/udev/rules.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/udev': Directory not empty Preparing to unpack .../gpg_2.4.4-2ubuntu15_s390x.deb ... Unpacking gpg (2.4.4-2ubuntu15) over (2.2.40-1.1ubuntu1) ... dpkg: libreadline8:s390x: dependency problems, but removing anyway as you requested: gpgconf depends on libreadline8 (>= 6.0). (Reading database ... 15493 files and directories currently installed.) Removing libreadline8:s390x (8.2-1.3) ... Selecting previously unselected package libreadline8t64:s390x. (Reading database ... 15481 files and directories currently installed.) Preparing to unpack .../libreadline8t64_8.2-4_s390x.deb ... Adding 'diversion of /lib/s390x-linux-gnu/libhistory.so.8 to /lib/s390x-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/s390x-linux-gnu/libhistory.so.8.2 to /lib/s390x-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/s390x-linux-gnu/libreadline.so.8 to /lib/s390x-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/s390x-linux-gnu/libreadline.so.8.2 to /lib/s390x-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:s390x (8.2-4) ... Preparing to unpack .../gpgconf_2.4.4-2ubuntu15_s390x.deb ... Unpacking gpgconf (2.4.4-2ubuntu15) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../gpg-agent_2.4.4-2ubuntu15_s390x.deb ... Unpacking gpg-agent (2.4.4-2ubuntu15) over (2.2.40-1.1ubuntu1) ... (Reading database ... 15500 files and directories currently installed.) Removing libnpth0:s390x (1.6-3build2) ... Selecting previously unselected package libnpth0t64:s390x. (Reading database ... 15495 files and directories currently installed.) Preparing to unpack .../libnpth0t64_1.6-3.1_s390x.deb ... Unpacking libnpth0t64:s390x (1.6-3.1) ... Setting up libnpth0t64:s390x (1.6-3.1) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../readline-common_8.2-4_all.deb ... Unpacking readline-common (8.2-4) over (8.2-1.3) ... Preparing to unpack .../libncursesw6_6.4+20240113-1ubuntu1_s390x.deb ... Unpacking libncursesw6:s390x (6.4+20240113-1ubuntu1) over (6.4+20230625-2) ... Preparing to unpack .../libtinfo6_6.4+20240113-1ubuntu1_s390x.deb ... Unpacking libtinfo6:s390x (6.4+20240113-1ubuntu1) over (6.4+20230625-2) ... Setting up libtinfo6:s390x (6.4+20240113-1ubuntu1) ... Setting up libapparmor1:s390x (4.0.0-beta3-0ubuntu2) ... Setting up libargon2-1:s390x (0~20190702+dfsg-4) ... Setting up libdevmapper1.02.1:s390x (2:1.02.185-3ubuntu2) ... Setting up libcryptsetup12:s390x (2:2.7.0-1ubuntu2) ... Setting up libfdisk1:s390x (2.39.3-9ubuntu2) ... Setting up libkmod2:s390x (31+20240202-2ubuntu4) ... Setting up libsystemd-shared:s390x (255.4-1ubuntu5) ... Setting up systemd-dev (255.4-1ubuntu5) ... Setting up mount (2.39.3-9ubuntu2) ... Setting up systemd (255.4-1ubuntu5) ... Installing new version of config file /etc/systemd/journald.conf ... Installing new version of config file /etc/systemd/logind.conf ... Installing new version of config file /etc/systemd/networkd.conf ... Installing new version of config file /etc/systemd/pstore.conf ... Installing new version of config file /etc/systemd/sleep.conf ... Installing new version of config file /etc/systemd/system.conf ... Installing new version of config file /etc/systemd/user.conf ... Initializing machine ID from random generator. Setting up systemd-sysv (255.4-1ubuntu5) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../init_1.66ubuntu1_s390x.deb ... Unpacking init (1.66ubuntu1) over (1.65.2ubuntu1) ... Preparing to unpack .../libsmartcols1_2.39.3-9ubuntu2_s390x.deb ... Unpacking libsmartcols1:s390x (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Setting up libsmartcols1:s390x (2.39.3-9ubuntu2) ... (Reading database ... 15502 files and directories currently installed.) Preparing to unpack .../uuid-runtime_2.39.3-9ubuntu2_s390x.deb ... Unpacking uuid-runtime (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Preparing to unpack .../libattr1_1%3a2.5.2-1_s390x.deb ... Unpacking libattr1:s390x (1:2.5.2-1) over (1:2.5.1-4) ... Setting up libattr1:s390x (1:2.5.2-1) ... (Reading database ... 15502 files and directories currently installed.) Preparing to unpack .../libmd0_1.1.0-2_s390x.deb ... Unpacking libmd0:s390x (1.1.0-2) over (1.1.0-1) ... Setting up libmd0:s390x (1.1.0-2) ... (Reading database ... 15502 files and directories currently installed.) Preparing to unpack .../libpam-runtime_1.5.3-5ubuntu3_all.deb ... Unpacking libpam-runtime (1.5.3-5ubuntu3) over (1.5.2-6ubuntu1) ... Setting up libpam-runtime (1.5.3-5ubuntu3) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../libsemanage-common_3.5-1build4_all.deb ... Unpacking libsemanage-common (3.5-1build4) over (3.5-1) ... Setting up libsemanage-common (3.5-1build4) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../libsepol2_3.5-2_s390x.deb ... Unpacking libsepol2:s390x (3.5-2) over (3.5-1) ... Setting up libsepol2:s390x (3.5-2) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../libsemanage2_3.5-1build4_s390x.deb ... Unpacking libsemanage2:s390x (3.5-1build4) over (3.5-1) ... Setting up libsemanage2:s390x (3.5-1build4) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../passwd_1%3a4.13+dfsg1-4ubuntu1_s390x.deb ... Unpacking passwd (1:4.13+dfsg1-4ubuntu1) over (1:4.13+dfsg1-1ubuntu1) ... Setting up passwd (1:4.13+dfsg1-4ubuntu1) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../gpgv_2.4.4-2ubuntu15_s390x.deb ... Unpacking gpgv (2.4.4-2ubuntu15) over (2.2.40-1.1ubuntu1) ... Setting up gpgv (2.4.4-2ubuntu15) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../libffi8_3.4.6-1_s390x.deb ... Unpacking libffi8:s390x (3.4.6-1) over (3.4.4-1) ... Setting up libffi8:s390x (3.4.6-1) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../libidn2-0_2.3.7-2_s390x.deb ... Unpacking libidn2-0:s390x (2.3.7-2) over (2.3.4-1) ... Setting up libidn2-0:s390x (2.3.7-2) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../ubuntu-keyring_2023.11.28.1_all.deb ... Unpacking ubuntu-keyring (2023.11.28.1) over (2021.03.26) ... Setting up ubuntu-keyring (2023.11.28.1) ... (Reading database ... 15501 files and directories currently installed.) Preparing to unpack .../00-g++-13_13.2.0-21ubuntu1_s390x.deb ... Unpacking g++-13 (13.2.0-21ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../01-gcc-13_13.2.0-21ubuntu1_s390x.deb ... Unpacking gcc-13 (13.2.0-21ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../02-cpp-13_13.2.0-21ubuntu1_s390x.deb ... Unpacking cpp-13 (13.2.0-21ubuntu1) over (13.2.0-4ubuntu3) ... Selecting previously unselected package cpp-13-s390x-linux-gnu. Preparing to unpack .../03-cpp-13-s390x-linux-gnu_13.2.0-21ubuntu1_s390x.deb ... Unpacking cpp-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Preparing to unpack .../04-libcc1-0_14-20240315-1ubuntu1_s390x.deb ... Unpacking libcc1-0:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../05-libctf0_2.42-4ubuntu1_s390x.deb ... Unpacking libctf0:s390x (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../06-libctf-nobfd0_2.42-4ubuntu1_s390x.deb ... Unpacking libctf-nobfd0:s390x (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../07-libsframe1_2.42-4ubuntu1_s390x.deb ... Unpacking libsframe1:s390x (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../08-binutils-s390x-linux-gnu_2.42-4ubuntu1_s390x.deb ... Unpacking binutils-s390x-linux-gnu (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../09-libbinutils_2.42-4ubuntu1_s390x.deb ... Unpacking libbinutils:s390x (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../10-binutils_2.42-4ubuntu1_s390x.deb ... Unpacking binutils (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../11-binutils-common_2.42-4ubuntu1_s390x.deb ... Unpacking binutils-common:s390x (2.42-4ubuntu1) over (2.41-5ubuntu1) ... Preparing to unpack .../12-libstdc++-13-dev_13.2.0-21ubuntu1_s390x.deb ... Unpacking libstdc++-13-dev:s390x (13.2.0-21ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../13-libgomp1_14-20240315-1ubuntu1_s390x.deb ... Unpacking libgomp1:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../14-libitm1_14-20240315-1ubuntu1_s390x.deb ... Unpacking libitm1:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../15-libatomic1_14-20240315-1ubuntu1_s390x.deb ... Unpacking libatomic1:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../16-libasan8_14-20240315-1ubuntu1_s390x.deb ... Unpacking libasan8:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../17-libubsan1_14-20240315-1ubuntu1_s390x.deb ... Unpacking libubsan1:s390x (14-20240315-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../18-libgcc-13-dev_13.2.0-21ubuntu1_s390x.deb ... Unpacking libgcc-13-dev:s390x (13.2.0-21ubuntu1) over (13.2.0-4ubuntu3) ... Selecting previously unselected package gcc-13-s390x-linux-gnu. Preparing to unpack .../19-gcc-13-s390x-linux-gnu_13.2.0-21ubuntu1_s390x.deb ... Unpacking gcc-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Selecting previously unselected package g++-13-s390x-linux-gnu. Preparing to unpack .../20-g++-13-s390x-linux-gnu_13.2.0-21ubuntu1_s390x.deb ... Unpacking g++-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Preparing to unpack .../21-gcc-13-base_13.2.0-21ubuntu1_s390x.deb ... Unpacking gcc-13-base:s390x (13.2.0-21ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../22-libproc2-0_2%3a4.0.4-4ubuntu2_s390x.deb ... Unpacking libproc2-0:s390x (2:4.0.4-4ubuntu2) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../23-libss2_1.47.0-2.4~exp1ubuntu2_s390x.deb ... Unpacking libss2:s390x (1.47.0-2.4~exp1ubuntu2) over (1.47.0-2ubuntu1) ... Preparing to unpack .../24-mawk_1.3.4.20240123-1_s390x.deb ... Unpacking mawk (1.3.4.20240123-1) over (1.3.4.20230730-1) ... Preparing to unpack .../25-procps_2%3a4.0.4-4ubuntu2_s390x.deb ... Unpacking procps (2:4.0.4-4ubuntu2) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../26-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) over (0.0.20) ... Preparing to unpack .../27-ca-certificates_20240203_all.deb ... Unpacking ca-certificates (20240203) over (20230311ubuntu1) ... Preparing to unpack .../28-krb5-locales_1.20.1-6ubuntu1_all.deb ... Unpacking krb5-locales (1.20.1-6ubuntu1) over (1.20.1-3ubuntu1) ... Preparing to unpack .../29-libip4tc2_1.8.10-3ubuntu1_s390x.deb ... Unpacking libip4tc2:s390x (1.8.10-3ubuntu1) over (1.8.9-2ubuntu2) ... Preparing to unpack .../30-libsqlite3-0_3.45.1-1ubuntu1_s390x.deb ... Unpacking libsqlite3-0:s390x (3.45.1-1ubuntu1) over (3.42.0-1) ... Preparing to unpack .../31-tzdata_2024a-1ubuntu1_all.deb ... Unpacking tzdata (2024a-1ubuntu1) over (2023c-9ubuntu1) ... Preparing to unpack .../32-bash-completion_1%3a2.11-8_all.deb ... Unpacking bash-completion (1:2.11-8) over (1:2.11-7) ... Preparing to unpack .../33-bsdextrautils_2.39.3-9ubuntu2_s390x.deb ... Unpacking bsdextrautils (2.39.3-9ubuntu2) over (2.39.1-4ubuntu2) ... Preparing to unpack .../34-libpng16-16_1.6.43-1_s390x.deb ... Unpacking libpng16-16:s390x (1.6.43-1) over (1.6.40-1) ... Preparing to unpack .../35-psmisc_23.7-1_s390x.deb ... Unpacking psmisc (23.7-1) over (23.6-1) ... Preparing to unpack .../36-xz-utils_5.6.0-0.2_s390x.deb ... Unpacking xz-utils (5.6.0-0.2) over (5.4.1-0.2) ... Preparing to unpack .../37-g++_4%3a13.2.0-7ubuntu1_s390x.deb ... Unpacking g++ (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../38-gcc_4%3a13.2.0-7ubuntu1_s390x.deb ... Unpacking gcc (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../39-cpp_4%3a13.2.0-7ubuntu1_s390x.deb ... Unpacking cpp (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Selecting previously unselected package cpp-s390x-linux-gnu. Preparing to unpack .../40-cpp-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... Unpacking cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... Selecting previously unselected package gcc-s390x-linux-gnu. Preparing to unpack .../41-gcc-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... Unpacking gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... Selecting previously unselected package g++-s390x-linux-gnu. Preparing to unpack .../42-g++-s390x-linux-gnu_4%3a13.2.0-7ubuntu1_s390x.deb ... Unpacking g++-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... Preparing to unpack .../43-dpkg-dev_1.22.6ubuntu4_all.deb ... Unpacking dpkg-dev (1.22.6ubuntu4) over (1.22.0ubuntu1) ... Preparing to unpack .../44-libdpkg-perl_1.22.6ubuntu4_all.deb ... Unpacking libdpkg-perl (1.22.6ubuntu4) over (1.22.0ubuntu1) ... Preparing to unpack .../45-lto-disabled-list_47_all.deb ... Unpacking lto-disabled-list (47) over (43) ... Preparing to unpack .../46-libfakeroot_1.33-1_s390x.deb ... Unpacking libfakeroot:s390x (1.33-1) over (1.32.1-1) ... Preparing to unpack .../47-fakeroot_1.33-1_s390x.deb ... Unpacking fakeroot (1.33-1) over (1.32.1-1) ... Preparing to unpack .../48-pinentry-curses_1.2.1-3ubuntu4_s390x.deb ... Unpacking pinentry-curses (1.2.1-3ubuntu4) over (1.2.1-1ubuntu1) ... Setting up libip4tc2:s390x (1.8.10-3ubuntu1) ... Setting up libtext-iconv-perl:s390x (1.7-8build2) ... Setting up libtext-charwidth-perl:s390x (0.04-11build2) ... Setting up libkeyutils1:s390x (1.6.3-3) ... Setting up lto-disabled-list (47) ... Setting up libgdbm6t64:s390x (1.23-5.1) ... Setting up bsdextrautils (2.39.3-9ubuntu2) ... Setting up init (1.66ubuntu1) ... Setting up libgdbm-compat4t64:s390x (1.23-5.1) ... Setting up psmisc (23.7-1) ... Setting up libtirpc-common (1.3.4+ds-1.1) ... Setting up libsqlite3-0:s390x (3.45.1-1ubuntu1) ... Setting up binutils-common:s390x (2.42-4ubuntu1) ... Setting up linux-libc-dev:s390x (6.8.0-20.20) ... Setting up libctf-nobfd0:s390x (2.42-4ubuntu1) ... Setting up krb5-locales (1.20.1-6ubuntu1) ... Setting up libgomp1:s390x (14-20240315-1ubuntu1) ... Setting up bzip2 (1.0.8-5ubuntu1) ... Setting up libsframe1:s390x (2.42-4ubuntu1) ... Setting up libfakeroot:s390x (1.33-1) ... Setting up libkrb5support0:s390x (1.20.1-6ubuntu1) ... Setting up tzdata (2024a-1ubuntu1) ... Current default time zone: 'Etc/UTC' Local time is now: Mon Mar 25 14:03:14 UTC 2024. Universal Time is now: Mon Mar 25 14:03:14 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up fakeroot (1.33-1) ... Setting up gcc-13-base:s390x (13.2.0-21ubuntu1) ... Setting up cpp-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Setting up bash-completion (1:2.11-8) ... Setting up xz-utils (5.6.0-0.2) ... Setting up perl-modules-5.38 (5.38.2-3.2) ... Setting up libproc2-0:s390x (2:4.0.4-4ubuntu2) ... Setting up libpng16-16:s390x (1.6.43-1) ... Setting up libatomic1:s390x (14-20240315-1ubuntu1) ... Setting up libss2:s390x (1.47.0-2.4~exp1ubuntu2) ... Setting up libncursesw6:s390x (6.4+20240113-1ubuntu1) ... Setting up libk5crypto3:s390x (1.20.1-6ubuntu1) ... Setting up logsave (1.47.0-2.4~exp1ubuntu2) ... Setting up libdb5.3t64:s390x (5.3.28+dfsg2-6) ... Setting up libubsan1:s390x (14-20240315-1ubuntu1) ... Setting up sensible-utils (0.0.22) ... Setting up uuid-runtime (2.39.3-9ubuntu2) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of restart. Setting up libcrypt-dev:s390x (1:4.4.36-4) ... Setting up libasan8:s390x (14-20240315-1ubuntu1) ... Setting up procps (2:4.0.4-4ubuntu2) ... Installing new version of config file /etc/sysctl.conf ... Setting up mawk (1.3.4.20240123-1) ... Setting up libkrb5-3:s390x (1.20.1-6ubuntu1) ... Setting up libperl5.38t64:s390x (5.38.2-3.2) ... Setting up libbinutils:s390x (2.42-4ubuntu1) ... Setting up libc-dev-bin (2.39-0ubuntu6) ... Setting up openssl (3.0.13-0ubuntu2) ... Setting up libgpg-error-l10n (1.47-3build1) ... Setting up readline-common (8.2-4) ... Setting up libcc1-0:s390x (14-20240315-1ubuntu1) ... Setting up liblocale-gettext-perl (1.07-6ubuntu4) ... Setting up libitm1:s390x (14-20240315-1ubuntu1) ... Setting up libctf0:s390x (2.42-4ubuntu1) ... Setting up pinentry-curses (1.2.1-3ubuntu4) ... Setting up binutils-s390x-linux-gnu (2.42-4ubuntu1) ... Setting up cpp-13 (13.2.0-21ubuntu1) ... Setting up cpp-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up debconf-i18n (1.5.86) ... Setting up e2fsprogs (1.47.0-2.4~exp1ubuntu2) ... Setting up binutils (2.42-4ubuntu1) ... Setting up ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... rehash: warning: skipping ca-certificates.crt,it does not contain exactly one certificate or CRL 14 added, 5 removed; done. Setting up perl (5.38.2-3.2) ... Setting up libgssapi-krb5-2:s390x (1.20.1-6ubuntu1) ... Setting up libdpkg-perl (1.22.6ubuntu4) ... Setting up libreadline8t64:s390x (8.2-4) ... Setting up libgcc-13-dev:s390x (13.2.0-21ubuntu1) ... Setting up cpp (4:13.2.0-7ubuntu1) ... Setting up gpgconf (2.4.4-2ubuntu15) ... Setting up libc6-dev:s390x (2.39-0ubuntu6) ... Setting up gpg (2.4.4-2ubuntu15) ... Setting up libstdc++-13-dev:s390x (13.2.0-21ubuntu1) ... Setting up gpg-agent (2.4.4-2ubuntu15) ... Setting up libtirpc3t64:s390x (1.3.4+ds-1.1) ... Setting up dpkg-dev (1.22.6ubuntu4) ... Setting up libtirpc-dev:s390x (1.3.4+ds-1.1) ... Setting up gcc-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Setting up gcc-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up g++-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Setting up gcc-13 (13.2.0-21ubuntu1) ... Setting up libnsl2:s390x (1.3.0-3build2) ... Setting up g++-13 (13.2.0-21ubuntu1) ... Setting up g++-s390x-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up libnss-nisplus:s390x (1.3-5) ... Setting up gcc (4:13.2.0-7ubuntu1) ... Setting up g++ (4:13.2.0-7ubuntu1) ... Setting up libnsl-dev:s390x (1.3.0-3build2) ... Processing triggers for libc-bin (2.39-0ubuntu6) ... Processing triggers for debianutils (5.17) ... (Reading database ... 15487 files and directories currently installed.) Purging configuration files for libssl3:s390x (3.0.10-1ubuntu2) ... Processing triggers for ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-27959377 s390x noble-proposed -c chroot:build-PACKAGEBUILD-27959377 --arch=s390x --dist=noble-proposed --nolog ghdl_4.0.0+dfsg-0ubuntu2.dsc Initiating build PACKAGEBUILD-27959377 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 5.4.0-173-generic #191-Ubuntu SMP Fri Feb 2 13:54:49 UTC 2024 s390x sbuild (Debian sbuild) 0.79.0 (05 February 2020) on bos02-s390x-016.buildd +==============================================================================+ | ghdl 4.0.0+dfsg-0ubuntu2 (s390x) Mon, 25 Mar 2024 14:03:18 +0000 | +==============================================================================+ Package: ghdl Version: 4.0.0+dfsg-0ubuntu2 Source Version: 4.0.0+dfsg-0ubuntu2 Distribution: noble-proposed Machine Architecture: s390x Host Architecture: s390x Build Architecture: s390x Build Type: any I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-27959377/chroot-autobuild' with '<>' I: NOTICE: Log filtering will replace 'build/ghdl-E0tUk4/resolver-4GVsbG' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- ghdl_4.0.0+dfsg-0ubuntu2.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/ghdl-E0tUk4/ghdl-4.0.0+dfsg' with '<>' I: NOTICE: Log filtering will replace 'build/ghdl-E0tUk4' with '<>' +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-13, gcc-13, g++-13, gcc-13-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev, clang, zlib1g-dev, build-essential, fakeroot Filtered Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-13, gcc-13, g++-13, gcc-13-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev, clang, zlib1g-dev, build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<>/apt_archive/sbuild-build-depends-main-dummy.deb'. Ign:1 copy:/<>/apt_archive ./ InRelease Get:2 copy:/<>/apt_archive ./ Release [957 B] Ign:3 copy:/<>/apt_archive ./ Release.gpg Get:4 copy:/<>/apt_archive ./ Sources [496 B] Get:5 copy:/<>/apt_archive ./ Packages [557 B] Fetched 2010 B in 0s (179 kB/s) Reading package lists... Reading package lists... Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: apt-utils bash-completion ca-certificates debconf-i18n krb5-locales libgpg-error-l10n libgpm2 libip4tc2 liblocale-gettext-perl libnsl-dev libnsl2 libnss-nis libnss-nisplus libperl5.36 libtext-charwidth-perl libtext-iconv-perl libtext-wrapi18n-perl libtirpc-common libtirpc-dev libtirpc3t64 libunistring2 openssl perl-modules-5.36 psmisc uuid-runtime Use 'apt autoremove' to remove them. The following additional packages will be installed: autoconf autoconf2.69 automake autopoint autotools-dev clang clang-18 debhelper debugedit dh-ada-library dh-autoreconf dh-strip-nondeterminism diffstat dwz ed file gawk gcc-13-source gettext gettext-base gnat gnat-13 gnat-13-s390x-linux-gnu groff-base icu-devtools intltool-debian libarchive-zip-perl libbrotli1 libbsd0 libclang-common-18-dev libclang-cpp18 libclang1-18 libcurl4t64 libdebhelper-perl libdw1t64 libedit2 libelf1t64 libexpat1 libffi-dev libfile-stripnondeterminism-perl libgc1 libgmp-dev libgmpxx4ldbl libgnat-13 libgnutls30t64 libhogweed6t64 libicu-dev libicu74 libisl-dev libldap2 libllvm18 libmagic-mgc libmagic1t64 libmpc-dev libmpfr-dev libncurses-dev libncurses6 libnghttp2-14 libobjc-13-dev libobjc4 libpfm4 libpipeline1 libpsl5t64 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib librtmp1 libsasl2-2 libsasl2-modules-db libsigsegv2 libssh-4 libsub-override-perl libtext-unidecode-perl libtool libuchardet0 libxml-libxml-perl libxml-namespacesupport-perl libxml-sax-base-perl libxml-sax-perl libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-18 llvm-18-dev llvm-18-linker-tools llvm-18-runtime llvm-18-tools llvm-dev llvm-runtime lsb-release m4 man-db media-types netbase patchutils po-debconf python3 python3-minimal python3-pkg-resources python3-pygments python3-yaml python3.12 python3.12-minimal quilt sharutils tex-common texinfo texinfo-lib time ucf zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc clang-18-doc wasi-libc dh-make gprbuild gawk-doc gettext-doc libasprintf-dev libgettextpo-dev ada-reference-manual-2012 gnat-13-doc gnat-13-sjlj groff gmp-doc libgmp10-doc gnutls-bin icu-doc libmpfr-doc ncurses-doc libtool-doc gfortran | fortran95-compiler gcj-jdk pkg-config llvm-18-doc m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv python3-setuptools python-pygments-doc ttf-bitstream-vera python3.12-venv python3.12-doc binfmt-support default-mta | mail-transport-agent graphviz procmail bsd-mailx | mailx sharutils-doc texlive-base texlive-latex-base texlive-plain-generic texlive-fonts-recommended Recommended packages: curl | wget | lynx libclang-rt-18-dev libarchive-cpio-perl libldap-common publicsuffix libsasl2-modules libltdl-dev libwww-perl libxml-sax-expat-perl libmail-sendmail-perl less The following packages will be REMOVED: libgnutls30* libhogweed6* The following NEW packages will be installed: autoconf autoconf2.69 automake autopoint autotools-dev clang clang-18 debhelper debugedit dh-ada-library dh-autoreconf dh-strip-nondeterminism diffstat dwz ed file gawk gcc-13-source gettext gettext-base gnat gnat-13 gnat-13-s390x-linux-gnu groff-base icu-devtools intltool-debian libarchive-zip-perl libbrotli1 libbsd0 libclang-common-18-dev libclang-cpp18 libclang1-18 libcurl4t64 libdebhelper-perl libdw1t64 libedit2 libelf1t64 libexpat1 libffi-dev libfile-stripnondeterminism-perl libgc1 libgmp-dev libgmpxx4ldbl libgnat-13 libgnutls30t64 libhogweed6t64 libicu-dev libicu74 libisl-dev libldap2 libllvm18 libmagic-mgc libmagic1t64 libmpc-dev libmpfr-dev libncurses-dev libncurses6 libnghttp2-14 libobjc-13-dev libobjc4 libpfm4 libpipeline1 libpsl5t64 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib librtmp1 libsasl2-2 libsasl2-modules-db libsigsegv2 libssh-4 libsub-override-perl libtext-unidecode-perl libtool libuchardet0 libxml-libxml-perl libxml-namespacesupport-perl libxml-sax-base-perl libxml-sax-perl libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-18 llvm-18-dev llvm-18-linker-tools llvm-18-runtime llvm-18-tools llvm-dev llvm-runtime lsb-release m4 man-db media-types netbase patchutils po-debconf python3 python3-minimal python3-pkg-resources python3-pygments python3-yaml python3.12 python3.12-minimal quilt sbuild-build-depends-main-dummy sharutils tex-common texinfo texinfo-lib time ucf zlib1g-dev 0 upgraded, 115 newly installed, 2 to remove and 3 not upgraded. Need to get 306 MB of archives. After this operation, 1256 MB of additional disk space will be used. Get:1 copy:/<>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [780 B] Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libhogweed6t64 s390x 3.9.1-2.2 [204 kB] Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libgnutls30t64 s390x 3.8.3-1.1ubuntu2 [1044 kB] Get:4 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpython3.12-minimal s390x 3.12.2-4build3 [829 kB] Get:5 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libexpat1 s390x 2.6.1-2 [94.8 kB] Get:6 http://ftpmaster.internal/ubuntu noble-proposed/main s390x python3.12-minimal s390x 3.12.2-4build3 [2419 kB] Get:7 http://ftpmaster.internal/ubuntu noble-proposed/main s390x python3-minimal s390x 3.12.2-0ubuntu1 [27.1 kB] Get:8 http://ftpmaster.internal/ubuntu noble/main s390x media-types all 10.1.0 [27.5 kB] Get:9 http://ftpmaster.internal/ubuntu noble/main s390x netbase all 6.4 [13.1 kB] Get:10 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpython3.12-stdlib s390x 3.12.2-4build3 [2046 kB] Get:11 http://ftpmaster.internal/ubuntu noble-proposed/main s390x python3.12 s390x 3.12.2-4build3 [645 kB] Get:12 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpython3-stdlib s390x 3.12.2-0ubuntu1 [9804 B] Get:13 http://ftpmaster.internal/ubuntu noble-proposed/main s390x python3 s390x 3.12.2-0ubuntu1 [24.1 kB] Get:14 http://ftpmaster.internal/ubuntu noble/main s390x libsigsegv2 s390x 2.14-1ubuntu1 [15.2 kB] Get:15 http://ftpmaster.internal/ubuntu noble-proposed/main s390x gawk s390x 1:5.2.1-2build2 [496 kB] Get:16 http://ftpmaster.internal/ubuntu noble/main s390x libbsd0 s390x 0.12.1-1 [46.7 kB] Get:17 http://ftpmaster.internal/ubuntu noble/main s390x libicu74 s390x 74.2-1ubuntu1 [10.9 MB] Get:18 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libxml2 s390x 2.9.14+dfsg-1.3ubuntu2 [818 kB] Get:19 http://ftpmaster.internal/ubuntu noble/main s390x libyaml-0-2 s390x 0.2.5-1 [48.9 kB] Get:20 http://ftpmaster.internal/ubuntu noble/main s390x lsb-release all 12.0-2 [6564 B] Get:21 http://ftpmaster.internal/ubuntu noble-proposed/main s390x python3-pkg-resources all 68.1.2-2ubuntu1 [168 kB] Get:22 http://ftpmaster.internal/ubuntu noble/main s390x python3-pygments all 2.17.2+dfsg-1 [819 kB] Get:23 http://ftpmaster.internal/ubuntu noble-proposed/main s390x python3-yaml s390x 6.0.1-2build1 [121 kB] Get:24 http://ftpmaster.internal/ubuntu noble/main s390x ucf all 3.0043+nmu1 [56.5 kB] Get:25 http://ftpmaster.internal/ubuntu noble/main s390x ed s390x 1.20.1-1 [57.9 kB] Get:26 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libmagic-mgc s390x 1:5.45-3 [305 kB] Get:27 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libmagic1t64 s390x 1:5.45-3 [93.1 kB] Get:28 http://ftpmaster.internal/ubuntu noble-proposed/main s390x file s390x 1:5.45-3 [22.2 kB] Get:29 http://ftpmaster.internal/ubuntu noble/main s390x gettext-base s390x 0.21-14ubuntu1 [39.4 kB] Get:30 http://ftpmaster.internal/ubuntu noble/main s390x libuchardet0 s390x 0.0.8-1 [76.1 kB] Get:31 http://ftpmaster.internal/ubuntu noble-proposed/main s390x groff-base s390x 1.23.0-3build1 [1049 kB] Get:32 http://ftpmaster.internal/ubuntu noble/main s390x libedit2 s390x 3.1-20230828-1 [106 kB] Get:33 http://ftpmaster.internal/ubuntu noble/main s390x libncurses6 s390x 6.4+20240113-1ubuntu1 [124 kB] Get:34 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libnghttp2-14 s390x 1.59.0-1build1 [77.8 kB] Get:35 http://ftpmaster.internal/ubuntu noble/main s390x libpipeline1 s390x 1.5.7-1 [23.4 kB] Get:36 http://ftpmaster.internal/ubuntu noble-proposed/main s390x man-db s390x 2.12.0-3build4 [1246 kB] Get:37 http://ftpmaster.internal/ubuntu noble/main s390x time s390x 1.9-0.2 [45.0 kB] Get:38 http://ftpmaster.internal/ubuntu noble/main s390x m4 s390x 1.4.19-4 [255 kB] Get:39 http://ftpmaster.internal/ubuntu noble/main s390x autoconf all 2.71-3 [339 kB] Get:40 http://ftpmaster.internal/ubuntu noble/universe s390x autoconf2.69 all 2.69-3.1 [288 kB] Get:41 http://ftpmaster.internal/ubuntu noble/main s390x autotools-dev all 20220109.1 [44.9 kB] Get:42 http://ftpmaster.internal/ubuntu noble/main s390x automake all 1:1.16.5-1.3ubuntu1 [558 kB] Get:43 http://ftpmaster.internal/ubuntu noble/main s390x autopoint all 0.21-14ubuntu1 [422 kB] Get:44 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libllvm18 s390x 1:18.1.2-1ubuntu2 [33.4 MB] Get:45 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang-cpp18 s390x 1:18.1.2-1ubuntu2 [16.1 MB] Get:46 http://ftpmaster.internal/ubuntu noble/main s390x libgc1 s390x 1:8.2.6-1 [95.0 kB] Get:47 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x libobjc4 s390x 14-20240315-1ubuntu1 [50.4 kB] Get:48 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x libobjc-13-dev s390x 13.2.0-21ubuntu1 [194 kB] Get:49 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x libclang-common-18-dev s390x 1:18.1.2-1ubuntu2 [734 kB] Get:50 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-18-linker-tools s390x 1:18.1.2-1ubuntu2 [1540 kB] Get:51 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libclang1-18 s390x 1:18.1.2-1ubuntu2 [9349 kB] Get:52 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x clang-18 s390x 1:18.1.2-1ubuntu2 [79.4 kB] Get:53 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x clang s390x 1:18.0-59~exp1 [5696 B] Get:54 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdebhelper-perl all 13.14.1ubuntu5 [89.8 kB] Get:55 http://ftpmaster.internal/ubuntu noble/main s390x libtool all 2.4.7-7 [166 kB] Get:56 http://ftpmaster.internal/ubuntu noble/main s390x dh-autoreconf all 20 [16.1 kB] Get:57 http://ftpmaster.internal/ubuntu noble/main s390x libarchive-zip-perl all 1.68-1 [90.2 kB] Get:58 http://ftpmaster.internal/ubuntu noble/main s390x libsub-override-perl all 0.10-1 [10.0 kB] Get:59 http://ftpmaster.internal/ubuntu noble/main s390x libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] Get:60 http://ftpmaster.internal/ubuntu noble/main s390x dh-strip-nondeterminism all 1.13.1-1 [5362 B] Get:61 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libelf1t64 s390x 0.190-1.1build2 [69.7 kB] Get:62 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libdw1t64 s390x 0.190-1.1build2 [286 kB] Get:63 http://ftpmaster.internal/ubuntu noble-proposed/main s390x debugedit s390x 1:5.0-5build1 [50.5 kB] Get:64 http://ftpmaster.internal/ubuntu noble-proposed/main s390x dwz s390x 0.15-1build5 [122 kB] Get:65 http://ftpmaster.internal/ubuntu noble/main s390x gettext s390x 0.21-14ubuntu1 [917 kB] Get:66 http://ftpmaster.internal/ubuntu noble/main s390x intltool-debian all 0.35.0+20060710.6 [23.2 kB] Get:67 http://ftpmaster.internal/ubuntu noble/main s390x po-debconf all 1.0.21+nmu1 [233 kB] Get:68 http://ftpmaster.internal/ubuntu noble-proposed/main s390x debhelper all 13.14.1ubuntu5 [869 kB] Get:69 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x libgnat-13 s390x 13.2.0-21ubuntu1 [1499 kB] Get:70 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x gnat-13-s390x-linux-gnu s390x 13.2.0-21ubuntu1 [19.3 MB] Get:71 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x gnat-13 s390x 13.2.0-21ubuntu1 [24.3 kB] Get:72 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x gnat s390x 13.2ubuntu1 [3208 B] Get:73 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x dh-ada-library all 9.3 [18.2 kB] Get:74 http://ftpmaster.internal/ubuntu noble/main s390x diffstat s390x 1.66-1 [32.4 kB] Get:75 http://ftpmaster.internal/ubuntu noble/universe s390x quilt all 0.67+really0.67-4 [436 kB] Get:76 http://ftpmaster.internal/ubuntu noble/main s390x patchutils s390x 0.4.2-1build2 [77.3 kB] Get:77 http://ftpmaster.internal/ubuntu noble/universe s390x sharutils s390x 1:4.15.2-9 [260 kB] Get:78 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x gcc-13-source all 13.2.0-21ubuntu1 [89.8 MB] Get:79 http://ftpmaster.internal/ubuntu noble/main s390x icu-devtools s390x 74.2-1ubuntu1 [224 kB] Get:80 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libbrotli1 s390x 1.1.0-2build1 [375 kB] Get:81 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsasl2-modules-db s390x 2.1.28+dfsg1-5ubuntu1 [21.1 kB] Get:82 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libsasl2-2 s390x 2.1.28+dfsg1-5ubuntu1 [57.8 kB] Get:83 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libldap2 s390x 2.6.7+dfsg-1~exp1ubuntu6 [202 kB] Get:84 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libpsl5t64 s390x 0.21.2-1.1 [57.6 kB] Get:85 http://ftpmaster.internal/ubuntu noble-proposed/main s390x librtmp1 s390x 2.4+20151223.gitfa8646d.1-2build6 [58.4 kB] Get:86 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libssh-4 s390x 0.10.6-2build1 [189 kB] Get:87 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libcurl4t64 s390x 8.5.0-2ubuntu8 [363 kB] Get:88 http://ftpmaster.internal/ubuntu noble/main s390x libgmpxx4ldbl s390x 2:6.3.0+dfsg-2ubuntu4 [10.0 kB] Get:89 http://ftpmaster.internal/ubuntu noble/main s390x libgmp-dev s390x 2:6.3.0+dfsg-2ubuntu4 [425 kB] Get:90 http://ftpmaster.internal/ubuntu noble/main s390x libicu-dev s390x 74.2-1ubuntu1 [11.9 MB] Get:91 http://ftpmaster.internal/ubuntu noble/main s390x libisl-dev s390x 0.26-3 [1035 kB] Get:92 http://ftpmaster.internal/ubuntu noble/main s390x libmpfr-dev s390x 4.2.1-1 [346 kB] Get:93 http://ftpmaster.internal/ubuntu noble/main s390x libncurses-dev s390x 6.4+20240113-1ubuntu1 [412 kB] Get:94 http://ftpmaster.internal/ubuntu noble/universe s390x libtext-unidecode-perl all 1.30-3 [105 kB] Get:95 http://ftpmaster.internal/ubuntu noble/main s390x libxml-namespacesupport-perl all 1.12-2 [13.5 kB] Get:96 http://ftpmaster.internal/ubuntu noble/main s390x libxml-sax-base-perl all 1.09-3 [18.9 kB] Get:97 http://ftpmaster.internal/ubuntu noble/main s390x libxml-sax-perl all 1.02+dfsg-3 [57.0 kB] Get:98 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libxml-libxml-perl s390x 2.0207+dfsg+really+2.0134-1build3 [312 kB] Get:99 http://ftpmaster.internal/ubuntu noble-proposed/main s390x libxml2-dev s390x 2.9.14+dfsg-1.3ubuntu2 [833 kB] Get:100 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-18-runtime s390x 1:18.1.2-1ubuntu2 [616 kB] Get:101 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-runtime s390x 1:18.0-59~exp1 [5342 B] Get:102 http://ftpmaster.internal/ubuntu noble/universe s390x libpfm4 s390x 4.13.0+git32-g0d4ed0e-1 [38.8 kB] Get:103 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-18 s390x 1:18.1.2-1ubuntu2 [29.9 MB] Get:104 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm s390x 1:18.0-59~exp1 [4166 B] Get:105 http://ftpmaster.internal/ubuntu noble/main s390x libffi-dev s390x 3.4.6-1 [58.8 kB] Get:106 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-18-tools s390x 1:18.1.2-1ubuntu2 [582 kB] Get:107 http://ftpmaster.internal/ubuntu noble/universe s390x libz3-4 s390x 4.8.12-3.1 [5366 kB] Get:108 http://ftpmaster.internal/ubuntu noble/universe s390x libz3-dev s390x 4.8.12-3.1 [72.2 kB] Get:109 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-18-dev s390x 1:18.1.2-1ubuntu2 [48.2 MB] Get:110 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x llvm-dev s390x 1:18.0-59~exp1 [1934 B] Get:111 http://ftpmaster.internal/ubuntu noble/universe s390x tex-common all 6.18 [32.8 kB] Get:112 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x texinfo-lib s390x 7.1-3build1 [141 kB] Get:113 http://ftpmaster.internal/ubuntu noble-proposed/universe s390x texinfo all 7.1-3build1 [1273 kB] Get:114 http://ftpmaster.internal/ubuntu noble-proposed/main s390x zlib1g-dev s390x 1:1.3.dfsg-3.1ubuntu1 [904 kB] Get:115 http://ftpmaster.internal/ubuntu noble/main s390x libmpc-dev s390x 1.3.1-1 [70.1 kB] Preconfiguring packages ... Fetched 306 MB in 43s (7113 kB/s) dpkg: libhogweed6:s390x: dependency problems, but removing anyway as you requested: libgnutls30:s390x depends on libhogweed6 (>= 3.6). (Reading database ... 15487 files and directories currently installed.) Removing libhogweed6:s390x (3.9.1-2) ... Selecting previously unselected package libhogweed6t64:s390x. (Reading database ... 15482 files and directories currently installed.) Preparing to unpack .../libhogweed6t64_3.9.1-2.2_s390x.deb ... Unpacking libhogweed6t64:s390x (3.9.1-2.2) ... dpkg: libgnutls30:s390x: dependency problems, but removing anyway as you requested: apt depends on libgnutls30 (>= 3.7.5). (Reading database ... 15488 files and directories currently installed.) Removing libgnutls30:s390x (3.8.3-1ubuntu1) ... Selecting previously unselected package libgnutls30t64:s390x. (Reading database ... 15479 files and directories currently installed.) Preparing to unpack .../libgnutls30t64_3.8.3-1.1ubuntu2_s390x.deb ... Unpacking libgnutls30t64:s390x (3.8.3-1.1ubuntu2) ... Setting up libhogweed6t64:s390x (3.9.1-2.2) ... Setting up libgnutls30t64:s390x (3.8.3-1.1ubuntu2) ... Selecting previously unselected package libpython3.12-minimal:s390x. (Reading database ... 15510 files and directories currently installed.) Preparing to unpack .../libpython3.12-minimal_3.12.2-4build3_s390x.deb ... Unpacking libpython3.12-minimal:s390x (3.12.2-4build3) ... Selecting previously unselected package libexpat1:s390x. Preparing to unpack .../libexpat1_2.6.1-2_s390x.deb ... Unpacking libexpat1:s390x (2.6.1-2) ... Selecting previously unselected package python3.12-minimal. Preparing to unpack .../python3.12-minimal_3.12.2-4build3_s390x.deb ... Unpacking python3.12-minimal (3.12.2-4build3) ... Setting up libpython3.12-minimal:s390x (3.12.2-4build3) ... Setting up libexpat1:s390x (2.6.1-2) ... Setting up python3.12-minimal (3.12.2-4build3) ... Selecting previously unselected package python3-minimal. (Reading database ... 15828 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.12.2-0ubuntu1_s390x.deb ... Unpacking python3-minimal (3.12.2-0ubuntu1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.1.0_all.deb ... Unpacking media-types (10.1.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.4_all.deb ... Unpacking netbase (6.4) ... Selecting previously unselected package libpython3.12-stdlib:s390x. Preparing to unpack .../3-libpython3.12-stdlib_3.12.2-4build3_s390x.deb ... Unpacking libpython3.12-stdlib:s390x (3.12.2-4build3) ... Selecting previously unselected package python3.12. Preparing to unpack .../4-python3.12_3.12.2-4build3_s390x.deb ... Unpacking python3.12 (3.12.2-4build3) ... Selecting previously unselected package libpython3-stdlib:s390x. Preparing to unpack .../5-libpython3-stdlib_3.12.2-0ubuntu1_s390x.deb ... Unpacking libpython3-stdlib:s390x (3.12.2-0ubuntu1) ... Setting up python3-minimal (3.12.2-0ubuntu1) ... Selecting previously unselected package python3. (Reading database ... 16268 files and directories currently installed.) Preparing to unpack .../python3_3.12.2-0ubuntu1_s390x.deb ... Unpacking python3 (3.12.2-0ubuntu1) ... Selecting previously unselected package libsigsegv2:s390x. Preparing to unpack .../libsigsegv2_2.14-1ubuntu1_s390x.deb ... Unpacking libsigsegv2:s390x (2.14-1ubuntu1) ... Setting up libsigsegv2:s390x (2.14-1ubuntu1) ... Selecting previously unselected package gawk. (Reading database ... 16296 files and directories currently installed.) Preparing to unpack .../000-gawk_1%3a5.2.1-2build2_s390x.deb ... Unpacking gawk (1:5.2.1-2build2) ... Selecting previously unselected package libbsd0:s390x. Preparing to unpack .../001-libbsd0_0.12.1-1_s390x.deb ... Unpacking libbsd0:s390x (0.12.1-1) ... Selecting previously unselected package libicu74:s390x. Preparing to unpack .../002-libicu74_74.2-1ubuntu1_s390x.deb ... Unpacking libicu74:s390x (74.2-1ubuntu1) ... Selecting previously unselected package libxml2:s390x. Preparing to unpack .../003-libxml2_2.9.14+dfsg-1.3ubuntu2_s390x.deb ... Unpacking libxml2:s390x (2.9.14+dfsg-1.3ubuntu2) ... Selecting previously unselected package libyaml-0-2:s390x. Preparing to unpack .../004-libyaml-0-2_0.2.5-1_s390x.deb ... Unpacking libyaml-0-2:s390x (0.2.5-1) ... Selecting previously unselected package lsb-release. Preparing to unpack .../005-lsb-release_12.0-2_all.deb ... Unpacking lsb-release (12.0-2) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../006-python3-pkg-resources_68.1.2-2ubuntu1_all.deb ... Unpacking python3-pkg-resources (68.1.2-2ubuntu1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../007-python3-pygments_2.17.2+dfsg-1_all.deb ... Unpacking python3-pygments (2.17.2+dfsg-1) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../008-python3-yaml_6.0.1-2build1_s390x.deb ... Unpacking python3-yaml (6.0.1-2build1) ... Selecting previously unselected package ucf. Preparing to unpack .../009-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package ed. Preparing to unpack .../010-ed_1.20.1-1_s390x.deb ... Unpacking ed (1.20.1-1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../011-libmagic-mgc_1%3a5.45-3_s390x.deb ... Unpacking libmagic-mgc (1:5.45-3) ... Selecting previously unselected package libmagic1t64:s390x. Preparing to unpack .../012-libmagic1t64_1%3a5.45-3_s390x.deb ... Unpacking libmagic1t64:s390x (1:5.45-3) ... Selecting previously unselected package file. Preparing to unpack .../013-file_1%3a5.45-3_s390x.deb ... Unpacking file (1:5.45-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../014-gettext-base_0.21-14ubuntu1_s390x.deb ... Unpacking gettext-base (0.21-14ubuntu1) ... Selecting previously unselected package libuchardet0:s390x. Preparing to unpack .../015-libuchardet0_0.0.8-1_s390x.deb ... Unpacking libuchardet0:s390x (0.0.8-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../016-groff-base_1.23.0-3build1_s390x.deb ... Unpacking groff-base (1.23.0-3build1) ... Selecting previously unselected package libedit2:s390x. Preparing to unpack .../017-libedit2_3.1-20230828-1_s390x.deb ... Unpacking libedit2:s390x (3.1-20230828-1) ... Selecting previously unselected package libncurses6:s390x. Preparing to unpack .../018-libncurses6_6.4+20240113-1ubuntu1_s390x.deb ... Unpacking libncurses6:s390x (6.4+20240113-1ubuntu1) ... Selecting previously unselected package libnghttp2-14:s390x. Preparing to unpack .../019-libnghttp2-14_1.59.0-1build1_s390x.deb ... Unpacking libnghttp2-14:s390x (1.59.0-1build1) ... Selecting previously unselected package libpipeline1:s390x. Preparing to unpack .../020-libpipeline1_1.5.7-1_s390x.deb ... Unpacking libpipeline1:s390x (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../021-man-db_2.12.0-3build4_s390x.deb ... Unpacking man-db (2.12.0-3build4) ... Selecting previously unselected package time. Preparing to unpack .../022-time_1.9-0.2_s390x.deb ... Unpacking time (1.9-0.2) ... Selecting previously unselected package m4. Preparing to unpack .../023-m4_1.4.19-4_s390x.deb ... Unpacking m4 (1.4.19-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../024-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autoconf2.69. Preparing to unpack .../025-autoconf2.69_2.69-3.1_all.deb ... Unpacking autoconf2.69 (2.69-3.1) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../026-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../027-automake_1%3a1.16.5-1.3ubuntu1_all.deb ... Unpacking automake (1:1.16.5-1.3ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../028-autopoint_0.21-14ubuntu1_all.deb ... Unpacking autopoint (0.21-14ubuntu1) ... Selecting previously unselected package libllvm18:s390x. Preparing to unpack .../029-libllvm18_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking libllvm18:s390x (1:18.1.2-1ubuntu2) ... Selecting previously unselected package libclang-cpp18. Preparing to unpack .../030-libclang-cpp18_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking libclang-cpp18 (1:18.1.2-1ubuntu2) ... Selecting previously unselected package libgc1:s390x. Preparing to unpack .../031-libgc1_1%3a8.2.6-1_s390x.deb ... Unpacking libgc1:s390x (1:8.2.6-1) ... Selecting previously unselected package libobjc4:s390x. Preparing to unpack .../032-libobjc4_14-20240315-1ubuntu1_s390x.deb ... Unpacking libobjc4:s390x (14-20240315-1ubuntu1) ... Selecting previously unselected package libobjc-13-dev:s390x. Preparing to unpack .../033-libobjc-13-dev_13.2.0-21ubuntu1_s390x.deb ... Unpacking libobjc-13-dev:s390x (13.2.0-21ubuntu1) ... Selecting previously unselected package libclang-common-18-dev:s390x. Preparing to unpack .../034-libclang-common-18-dev_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking libclang-common-18-dev:s390x (1:18.1.2-1ubuntu2) ... Selecting previously unselected package llvm-18-linker-tools. Preparing to unpack .../035-llvm-18-linker-tools_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking llvm-18-linker-tools (1:18.1.2-1ubuntu2) ... Selecting previously unselected package libclang1-18. Preparing to unpack .../036-libclang1-18_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking libclang1-18 (1:18.1.2-1ubuntu2) ... Selecting previously unselected package clang-18. Preparing to unpack .../037-clang-18_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking clang-18 (1:18.1.2-1ubuntu2) ... Selecting previously unselected package clang. Preparing to unpack .../038-clang_1%3a18.0-59~exp1_s390x.deb ... Unpacking clang (1:18.0-59~exp1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../039-libdebhelper-perl_13.14.1ubuntu5_all.deb ... Unpacking libdebhelper-perl (13.14.1ubuntu5) ... Selecting previously unselected package libtool. Preparing to unpack .../040-libtool_2.4.7-7_all.deb ... Unpacking libtool (2.4.7-7) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../041-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../042-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../043-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../044-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../045-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1t64:s390x. Preparing to unpack .../046-libelf1t64_0.190-1.1build2_s390x.deb ... Unpacking libelf1t64:s390x (0.190-1.1build2) ... Selecting previously unselected package libdw1t64:s390x. Preparing to unpack .../047-libdw1t64_0.190-1.1build2_s390x.deb ... Unpacking libdw1t64:s390x (0.190-1.1build2) ... Selecting previously unselected package debugedit. Preparing to unpack .../048-debugedit_1%3a5.0-5build1_s390x.deb ... Unpacking debugedit (1:5.0-5build1) ... Selecting previously unselected package dwz. Preparing to unpack .../049-dwz_0.15-1build5_s390x.deb ... Unpacking dwz (0.15-1build5) ... Selecting previously unselected package gettext. Preparing to unpack .../050-gettext_0.21-14ubuntu1_s390x.deb ... Unpacking gettext (0.21-14ubuntu1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../051-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../052-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../053-debhelper_13.14.1ubuntu5_all.deb ... Unpacking debhelper (13.14.1ubuntu5) ... Selecting previously unselected package libgnat-13:s390x. Preparing to unpack .../054-libgnat-13_13.2.0-21ubuntu1_s390x.deb ... Unpacking libgnat-13:s390x (13.2.0-21ubuntu1) ... Selecting previously unselected package gnat-13-s390x-linux-gnu. Preparing to unpack .../055-gnat-13-s390x-linux-gnu_13.2.0-21ubuntu1_s390x.deb ... Unpacking gnat-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Selecting previously unselected package gnat-13. Preparing to unpack .../056-gnat-13_13.2.0-21ubuntu1_s390x.deb ... Unpacking gnat-13 (13.2.0-21ubuntu1) ... Selecting previously unselected package gnat. Preparing to unpack .../057-gnat_13.2ubuntu1_s390x.deb ... Unpacking gnat (13.2ubuntu1) ... Selecting previously unselected package dh-ada-library. Preparing to unpack .../058-dh-ada-library_9.3_all.deb ... Unpacking dh-ada-library (9.3) ... Selecting previously unselected package diffstat. Preparing to unpack .../059-diffstat_1.66-1_s390x.deb ... Unpacking diffstat (1.66-1) ... Selecting previously unselected package quilt. Preparing to unpack .../060-quilt_0.67+really0.67-4_all.deb ... Unpacking quilt (0.67+really0.67-4) ... Selecting previously unselected package patchutils. Preparing to unpack .../061-patchutils_0.4.2-1build2_s390x.deb ... Unpacking patchutils (0.4.2-1build2) ... Selecting previously unselected package sharutils. Preparing to unpack .../062-sharutils_1%3a4.15.2-9_s390x.deb ... Unpacking sharutils (1:4.15.2-9) ... Selecting previously unselected package gcc-13-source. Preparing to unpack .../063-gcc-13-source_13.2.0-21ubuntu1_all.deb ... Unpacking gcc-13-source (13.2.0-21ubuntu1) ... Selecting previously unselected package icu-devtools. Preparing to unpack .../064-icu-devtools_74.2-1ubuntu1_s390x.deb ... Unpacking icu-devtools (74.2-1ubuntu1) ... Selecting previously unselected package libbrotli1:s390x. Preparing to unpack .../065-libbrotli1_1.1.0-2build1_s390x.deb ... Unpacking libbrotli1:s390x (1.1.0-2build1) ... Selecting previously unselected package libsasl2-modules-db:s390x. Preparing to unpack .../066-libsasl2-modules-db_2.1.28+dfsg1-5ubuntu1_s390x.deb ... Unpacking libsasl2-modules-db:s390x (2.1.28+dfsg1-5ubuntu1) ... Selecting previously unselected package libsasl2-2:s390x. Preparing to unpack .../067-libsasl2-2_2.1.28+dfsg1-5ubuntu1_s390x.deb ... Unpacking libsasl2-2:s390x (2.1.28+dfsg1-5ubuntu1) ... Selecting previously unselected package libldap2:s390x. Preparing to unpack .../068-libldap2_2.6.7+dfsg-1~exp1ubuntu6_s390x.deb ... Unpacking libldap2:s390x (2.6.7+dfsg-1~exp1ubuntu6) ... Selecting previously unselected package libpsl5t64:s390x. Preparing to unpack .../069-libpsl5t64_0.21.2-1.1_s390x.deb ... Unpacking libpsl5t64:s390x (0.21.2-1.1) ... Selecting previously unselected package librtmp1:s390x. Preparing to unpack .../070-librtmp1_2.4+20151223.gitfa8646d.1-2build6_s390x.deb ... Unpacking librtmp1:s390x (2.4+20151223.gitfa8646d.1-2build6) ... Selecting previously unselected package libssh-4:s390x. Preparing to unpack .../071-libssh-4_0.10.6-2build1_s390x.deb ... Unpacking libssh-4:s390x (0.10.6-2build1) ... Selecting previously unselected package libcurl4t64:s390x. Preparing to unpack .../072-libcurl4t64_8.5.0-2ubuntu8_s390x.deb ... Unpacking libcurl4t64:s390x (8.5.0-2ubuntu8) ... Selecting previously unselected package libgmpxx4ldbl:s390x. Preparing to unpack .../073-libgmpxx4ldbl_2%3a6.3.0+dfsg-2ubuntu4_s390x.deb ... Unpacking libgmpxx4ldbl:s390x (2:6.3.0+dfsg-2ubuntu4) ... Selecting previously unselected package libgmp-dev:s390x. Preparing to unpack .../074-libgmp-dev_2%3a6.3.0+dfsg-2ubuntu4_s390x.deb ... Unpacking libgmp-dev:s390x (2:6.3.0+dfsg-2ubuntu4) ... Selecting previously unselected package libicu-dev:s390x. Preparing to unpack .../075-libicu-dev_74.2-1ubuntu1_s390x.deb ... Unpacking libicu-dev:s390x (74.2-1ubuntu1) ... Selecting previously unselected package libisl-dev:s390x. Preparing to unpack .../076-libisl-dev_0.26-3_s390x.deb ... Unpacking libisl-dev:s390x (0.26-3) ... Selecting previously unselected package libmpfr-dev:s390x. Preparing to unpack .../077-libmpfr-dev_4.2.1-1_s390x.deb ... Unpacking libmpfr-dev:s390x (4.2.1-1) ... Selecting previously unselected package libncurses-dev:s390x. Preparing to unpack .../078-libncurses-dev_6.4+20240113-1ubuntu1_s390x.deb ... Unpacking libncurses-dev:s390x (6.4+20240113-1ubuntu1) ... Selecting previously unselected package libtext-unidecode-perl. Preparing to unpack .../079-libtext-unidecode-perl_1.30-3_all.deb ... Unpacking libtext-unidecode-perl (1.30-3) ... Selecting previously unselected package libxml-namespacesupport-perl. Preparing to unpack .../080-libxml-namespacesupport-perl_1.12-2_all.deb ... Unpacking libxml-namespacesupport-perl (1.12-2) ... Selecting previously unselected package libxml-sax-base-perl. Preparing to unpack .../081-libxml-sax-base-perl_1.09-3_all.deb ... Unpacking libxml-sax-base-perl (1.09-3) ... Selecting previously unselected package libxml-sax-perl. Preparing to unpack .../082-libxml-sax-perl_1.02+dfsg-3_all.deb ... Unpacking libxml-sax-perl (1.02+dfsg-3) ... Selecting previously unselected package libxml-libxml-perl. Preparing to unpack .../083-libxml-libxml-perl_2.0207+dfsg+really+2.0134-1build3_s390x.deb ... Unpacking libxml-libxml-perl (2.0207+dfsg+really+2.0134-1build3) ... Selecting previously unselected package libxml2-dev:s390x. Preparing to unpack .../084-libxml2-dev_2.9.14+dfsg-1.3ubuntu2_s390x.deb ... Unpacking libxml2-dev:s390x (2.9.14+dfsg-1.3ubuntu2) ... Selecting previously unselected package llvm-18-runtime. Preparing to unpack .../085-llvm-18-runtime_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking llvm-18-runtime (1:18.1.2-1ubuntu2) ... Selecting previously unselected package llvm-runtime:s390x. Preparing to unpack .../086-llvm-runtime_1%3a18.0-59~exp1_s390x.deb ... Unpacking llvm-runtime:s390x (1:18.0-59~exp1) ... Selecting previously unselected package libpfm4:s390x. Preparing to unpack .../087-libpfm4_4.13.0+git32-g0d4ed0e-1_s390x.deb ... Unpacking libpfm4:s390x (4.13.0+git32-g0d4ed0e-1) ... Selecting previously unselected package llvm-18. Preparing to unpack .../088-llvm-18_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking llvm-18 (1:18.1.2-1ubuntu2) ... Selecting previously unselected package llvm. Preparing to unpack .../089-llvm_1%3a18.0-59~exp1_s390x.deb ... Unpacking llvm (1:18.0-59~exp1) ... Selecting previously unselected package libffi-dev:s390x. Preparing to unpack .../090-libffi-dev_3.4.6-1_s390x.deb ... Unpacking libffi-dev:s390x (3.4.6-1) ... Selecting previously unselected package llvm-18-tools. Preparing to unpack .../091-llvm-18-tools_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking llvm-18-tools (1:18.1.2-1ubuntu2) ... Selecting previously unselected package libz3-4:s390x. Preparing to unpack .../092-libz3-4_4.8.12-3.1_s390x.deb ... Unpacking libz3-4:s390x (4.8.12-3.1) ... Selecting previously unselected package libz3-dev:s390x. Preparing to unpack .../093-libz3-dev_4.8.12-3.1_s390x.deb ... Unpacking libz3-dev:s390x (4.8.12-3.1) ... Selecting previously unselected package llvm-18-dev. Preparing to unpack .../094-llvm-18-dev_1%3a18.1.2-1ubuntu2_s390x.deb ... Unpacking llvm-18-dev (1:18.1.2-1ubuntu2) ... Selecting previously unselected package llvm-dev. Preparing to unpack .../095-llvm-dev_1%3a18.0-59~exp1_s390x.deb ... Unpacking llvm-dev (1:18.0-59~exp1) ... Selecting previously unselected package tex-common. Preparing to unpack .../096-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package texinfo-lib. Preparing to unpack .../097-texinfo-lib_7.1-3build1_s390x.deb ... Unpacking texinfo-lib (7.1-3build1) ... Selecting previously unselected package texinfo. Preparing to unpack .../098-texinfo_7.1-3build1_all.deb ... Unpacking texinfo (7.1-3build1) ... Selecting previously unselected package zlib1g-dev:s390x. Preparing to unpack .../099-zlib1g-dev_1%3a1.3.dfsg-3.1ubuntu1_s390x.deb ... Unpacking zlib1g-dev:s390x (1:1.3.dfsg-3.1ubuntu1) ... Selecting previously unselected package libmpc-dev:s390x. Preparing to unpack .../100-libmpc-dev_1.3.1-1_s390x.deb ... Unpacking libmpc-dev:s390x (1.3.1-1) ... Selecting previously unselected package sbuild-build-depends-main-dummy. Preparing to unpack .../101-sbuild-build-depends-main-dummy_0.invalid.0_s390x.deb ... Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ... Setting up media-types (10.1.0) ... Setting up libpipeline1:s390x (1.5.7-1) ... Setting up time (1.9-0.2) ... Setting up libmagic-mgc (1:5.45-3) ... Setting up gawk (1:5.2.1-2build2) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:s390x (0.2.5-1) ... Setting up libisl-dev:s390x (0.26-3) ... Setting up libdebhelper-perl (13.14.1ubuntu5) ... Setting up libbrotli1:s390x (1.1.0-2build1) ... Setting up libmagic1t64:s390x (1:5.45-3) ... Setting up libpsl5t64:s390x (0.21.2-1.1) ... Setting up libnghttp2-14:s390x (1.59.0-1build1) ... Setting up libxml-namespacesupport-perl (1.12-2) ... Setting up gettext-base (0.21-14ubuntu1) ... Setting up m4 (1.4.19-4) ... Setting up file (1:5.45-3) ... Setting up texinfo-lib (7.1-3build1) ... Setting up libffi-dev:s390x (3.4.6-1) ... Setting up libelf1t64:s390x (0.190-1.1build2) ... Setting up libdw1t64:s390x (0.190-1.1build2) ... Setting up libsasl2-modules-db:s390x (2.1.28+dfsg1-5ubuntu1) ... Setting up patchutils (0.4.2-1build2) ... Setting up libxml-sax-base-perl (1.09-3) ... Setting up autotools-dev (20220109.1) ... Setting up libz3-4:s390x (4.8.12-3.1) ... Setting up libgmpxx4ldbl:s390x (2:6.3.0+dfsg-2ubuntu4) ... Setting up libpfm4:s390x (4.13.0+git32-g0d4ed0e-1) ... Setting up ed (1.20.1-1) ... Setting up librtmp1:s390x (2.4+20151223.gitfa8646d.1-2build6) ... Setting up libncurses6:s390x (6.4+20240113-1ubuntu1) ... Setting up diffstat (1.66-1) ... Setting up autopoint (0.21-14ubuntu1) ... Setting up ucf (3.0043+nmu1) ... Setting up libclang-common-18-dev:s390x (1:18.1.2-1ubuntu2) ... Setting up libgnat-13:s390x (13.2.0-21ubuntu1) ... Setting up libgc1:s390x (1:8.2.6-1) ... Setting up libsasl2-2:s390x (2.1.28+dfsg1-5ubuntu1) ... Setting up libssh-4:s390x (0.10.6-2build1) ... Setting up autoconf (2.71-3) ... Setting up libicu74:s390x (74.2-1ubuntu1) ... Setting up zlib1g-dev:s390x (1:1.3.dfsg-3.1ubuntu1) ... Setting up dwz (0.15-1build5) ... Setting up libuchardet0:s390x (0.0.8-1) ... Setting up debugedit (1:5.0-5build1) ... Setting up libsub-override-perl (0.10-1) ... Setting up netbase (6.4) ... Setting up sharutils (1:4.15.2-9) ... Setting up lsb-release (12.0-2) ... Setting up libtext-unidecode-perl (1.30-3) ... Setting up libbsd0:s390x (0.12.1-1) ... Setting up libxml2:s390x (2.9.14+dfsg-1.3ubuntu2) ... Setting up libldap2:s390x (2.6.7+dfsg-1~exp1ubuntu6) ... Setting up automake (1:1.16.5-1.3ubuntu1) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libz3-dev:s390x (4.8.12-3.1) ... Setting up libncurses-dev:s390x (6.4+20240113-1ubuntu1) ... Setting up gettext (0.21-14ubuntu1) ... Setting up libgmp-dev:s390x (2:6.3.0+dfsg-2ubuntu4) ... Setting up libpython3.12-stdlib:s390x (3.12.2-4build3) ... Setting up libcurl4t64:s390x (8.5.0-2ubuntu8) ... Setting up libtool (2.4.7-7) ... Setting up python3.12 (3.12.2-4build3) ... Setting up libedit2:s390x (3.1-20230828-1) ... Setting up libobjc4:s390x (14-20240315-1ubuntu1) ... Setting up quilt (0.67+really0.67-4) ... Setting up libmpfr-dev:s390x (4.2.1-1) ... Setting up libxml-sax-perl (1.02+dfsg-3) ... update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up intltool-debian (0.35.0+20060710.6) ... Setting up autoconf2.69 (2.69-3.1) ... Setting up dh-autoreconf (20) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up gnat-13-s390x-linux-gnu (13.2.0-21ubuntu1) ... Setting up gcc-13-source (13.2.0-21ubuntu1) ... Setting up icu-devtools (74.2-1ubuntu1) ... Setting up libmpc-dev:s390x (1.3.1-1) ... Setting up libxml-libxml-perl (2.0207+dfsg+really+2.0134-1build3) ... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up groff-base (1.23.0-3build1) ... Setting up gnat-13 (13.2.0-21ubuntu1) ... Setting up libicu-dev:s390x (74.2-1ubuntu1) ... Setting up libobjc-13-dev:s390x (13.2.0-21ubuntu1) ... Setting up libpython3-stdlib:s390x (3.12.2-0ubuntu1) ... Setting up libllvm18:s390x (1:18.1.2-1ubuntu2) ... Setting up libclang1-18 (1:18.1.2-1ubuntu2) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up python3 (3.12.2-0ubuntu1) ... Setting up man-db (2.12.0-3build4) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /usr/lib/systemd/system/man-db.timer. Setting up libxml2-dev:s390x (2.9.14+dfsg-1.3ubuntu2) ... Setting up texinfo (7.1-3build1) ... Setting up llvm-18-linker-tools (1:18.1.2-1ubuntu2) ... Setting up gnat (13.2ubuntu1) ... Setting up llvm-18-runtime (1:18.1.2-1ubuntu2) ... Setting up libclang-cpp18 (1:18.1.2-1ubuntu2) ... Setting up python3-pkg-resources (68.1.2-2ubuntu1) ... Setting up python3-yaml (6.0.1-2build1) ... Setting up debhelper (13.14.1ubuntu5) ... Setting up clang-18 (1:18.1.2-1ubuntu2) ... Setting up python3-pygments (2.17.2+dfsg-1) ... Setting up clang (1:18.0-59~exp1) ... Setting up llvm-runtime:s390x (1:18.0-59~exp1) ... Setting up llvm-18 (1:18.1.2-1ubuntu2) ... Setting up dh-ada-library (9.3) ... Setting up llvm-18-tools (1:18.1.2-1ubuntu2) ... Setting up llvm (1:18.0-59~exp1) ... Setting up llvm-18-dev (1:18.1.2-1ubuntu2) ... Setting up llvm-dev (1:18.0-59~exp1) ... Setting up sbuild-build-depends-main-dummy (0.invalid.0) ... Processing triggers for systemd (255.4-1ubuntu5) ... Processing triggers for libc-bin (2.39-0ubuntu6) ... (Reading database ... 26918 files and directories currently installed.) Purging configuration files for libgnutls30:s390x (3.8.3-1ubuntu1) ... +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (s390x included in any) +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 5.4.0-173-generic #191-Ubuntu SMP Fri Feb 2 13:54:49 UTC 2024 s390x (s390x) Toolchain package versions: binutils_2.42-4ubuntu1 dpkg-dev_1.22.6ubuntu4 g++-13_13.2.0-21ubuntu1 gcc-13_13.2.0-21ubuntu1 libc6-dev_2.39-0ubuntu6 libstdc++-13-dev_13.2.0-21ubuntu1 libstdc++6_14-20240315-1ubuntu1 linux-libc-dev_6.8.0-20.20 Package versions: adduser_3.137ubuntu1 advancecomp_2.5-1 apt_2.7.3 apt-utils_2.7.3 autoconf_2.71-3 autoconf2.69_2.69-3.1 automake_1:1.16.5-1.3ubuntu1 autopoint_0.21-14ubuntu1 autotools-dev_20220109.1 base-files_13ubuntu7 base-passwd_3.6.3 bash_5.2.21-2ubuntu2 bash-completion_1:2.11-8 binutils_2.42-4ubuntu1 binutils-common_2.42-4ubuntu1 binutils-s390x-linux-gnu_2.42-4ubuntu1 bsdextrautils_2.39.3-9ubuntu2 bsdutils_1:2.39.3-9ubuntu2 build-essential_12.10ubuntu1 bzip2_1.0.8-5ubuntu1 ca-certificates_20240203 clang_1:18.0-59~exp1 clang-18_1:18.1.2-1ubuntu2 coreutils_9.4-3ubuntu3 cpp_4:13.2.0-7ubuntu1 cpp-13_13.2.0-21ubuntu1 cpp-13-s390x-linux-gnu_13.2.0-21ubuntu1 cpp-s390x-linux-gnu_4:13.2.0-7ubuntu1 dash_0.5.12-6ubuntu4 debconf_1.5.86 debconf-i18n_1.5.86 debhelper_13.14.1ubuntu5 debianutils_5.17 debugedit_1:5.0-5build1 dh-ada-library_9.3 dh-autoreconf_20 dh-strip-nondeterminism_1.13.1-1 diffstat_1.66-1 diffutils_1:3.10-1 dpkg_1.22.6ubuntu4 dpkg-dev_1.22.6ubuntu4 dwz_0.15-1build5 e2fsprogs_1.47.0-2.4~exp1ubuntu2 ed_1.20.1-1 fakeroot_1.33-1 file_1:5.45-3 findutils_4.9.0-5 g++_4:13.2.0-7ubuntu1 g++-13_13.2.0-21ubuntu1 g++-13-s390x-linux-gnu_13.2.0-21ubuntu1 g++-s390x-linux-gnu_4:13.2.0-7ubuntu1 gawk_1:5.2.1-2build2 gcc_4:13.2.0-7ubuntu1 gcc-13_13.2.0-21ubuntu1 gcc-13-base_13.2.0-21ubuntu1 gcc-13-s390x-linux-gnu_13.2.0-21ubuntu1 gcc-13-source_13.2.0-21ubuntu1 gcc-14-base_14-20240315-1ubuntu1 gcc-s390x-linux-gnu_4:13.2.0-7ubuntu1 gettext_0.21-14ubuntu1 gettext-base_0.21-14ubuntu1 gnat_13.2ubuntu1 gnat-13_13.2.0-21ubuntu1 gnat-13-s390x-linux-gnu_13.2.0-21ubuntu1 gpg_2.4.4-2ubuntu15 gpg-agent_2.4.4-2ubuntu15 gpgconf_2.4.4-2ubuntu15 gpgv_2.4.4-2ubuntu15 grep_3.11-4 groff-base_1.23.0-3build1 gzip_1.12-1ubuntu2 hostname_3.23+nmu2ubuntu1 icu-devtools_74.2-1ubuntu1 init_1.66ubuntu1 init-system-helpers_1.66ubuntu1 intltool-debian_0.35.0+20060710.6 krb5-locales_1.20.1-6ubuntu1 libacl1_2.3.2-1 libapparmor1_4.0.0-beta3-0ubuntu2 libapt-pkg6.0t64_2.7.14 libarchive-zip-perl_1.68-1 libargon2-1_0~20190702+dfsg-4 libasan8_14-20240315-1ubuntu1 libassuan0_2.5.6-1 libatomic1_14-20240315-1ubuntu1 libattr1_1:2.5.2-1 libaudit-common_1:3.1.2-2.1 libaudit1_1:3.1.2-2.1 libbinutils_2.42-4ubuntu1 libblkid1_2.39.3-9ubuntu2 libbrotli1_1.1.0-2build1 libbsd0_0.12.1-1 libbz2-1.0_1.0.8-5ubuntu1 libc-bin_2.39-0ubuntu6 libc-dev-bin_2.39-0ubuntu6 libc6_2.39-0ubuntu6 libc6-dev_2.39-0ubuntu6 libcap-ng0_0.8.4-2build1 libcap2_1:2.66-5ubuntu1 libcc1-0_14-20240315-1ubuntu1 libclang-common-18-dev_1:18.1.2-1ubuntu2 libclang-cpp18_1:18.1.2-1ubuntu2 libclang1-18_1:18.1.2-1ubuntu2 libcom-err2_1.47.0-2.4~exp1ubuntu2 libcrypt-dev_1:4.4.36-4 libcrypt1_1:4.4.36-4 libcryptsetup12_2:2.7.0-1ubuntu2 libctf-nobfd0_2.42-4ubuntu1 libctf0_2.42-4ubuntu1 libcurl4t64_8.5.0-2ubuntu8 libdb5.3t64_5.3.28+dfsg2-6 libdebconfclient0_0.271ubuntu2 libdebhelper-perl_13.14.1ubuntu5 libdevmapper1.02.1_2:1.02.185-3ubuntu2 libdpkg-perl_1.22.6ubuntu4 libdw1t64_0.190-1.1build2 libedit2_3.1-20230828-1 libelf1t64_0.190-1.1build2 libexpat1_2.6.1-2 libext2fs2t64_1.47.0-2.4~exp1ubuntu2 libfakeroot_1.33-1 libfdisk1_2.39.3-9ubuntu2 libffi-dev_3.4.6-1 libffi8_3.4.6-1 libfile-stripnondeterminism-perl_1.13.1-1 libgc1_1:8.2.6-1 libgcc-13-dev_13.2.0-21ubuntu1 libgcc-s1_14-20240315-1ubuntu1 libgcrypt20_1.10.3-2 libgdbm-compat4t64_1.23-5.1 libgdbm6t64_1.23-5.1 libgmp-dev_2:6.3.0+dfsg-2ubuntu4 libgmp10_2:6.3.0+dfsg-2ubuntu4 libgmpxx4ldbl_2:6.3.0+dfsg-2ubuntu4 libgnat-13_13.2.0-21ubuntu1 libgnutls30t64_3.8.3-1.1ubuntu2 libgomp1_14-20240315-1ubuntu1 libgpg-error-l10n_1.47-3build1 libgpg-error0_1.47-3build1 libgpm2_1.20.7-10build1 libgssapi-krb5-2_1.20.1-6ubuntu1 libhogweed6t64_3.9.1-2.2 libicu-dev_74.2-1ubuntu1 libicu74_74.2-1ubuntu1 libidn2-0_2.3.7-2 libip4tc2_1.8.10-3ubuntu1 libisl-dev_0.26-3 libisl23_0.26-3 libitm1_14-20240315-1ubuntu1 libjansson4_2.14-2 libjson-c5_0.17-1 libk5crypto3_1.20.1-6ubuntu1 libkeyutils1_1.6.3-3 libkmod2_31+20240202-2ubuntu4 libkrb5-3_1.20.1-6ubuntu1 libkrb5support0_1.20.1-6ubuntu1 libldap2_2.6.7+dfsg-1~exp1ubuntu6 libllvm18_1:18.1.2-1ubuntu2 liblocale-gettext-perl_1.07-6ubuntu4 liblockfile-bin_1.17-1build2 liblockfile1_1.17-1build2 liblz4-1_1.9.4-1 liblzma5_5.6.0-0.2 libmagic-mgc_1:5.45-3 libmagic1t64_1:5.45-3 libmd0_1.1.0-2 libmount1_2.39.3-9ubuntu2 libmpc-dev_1.3.1-1 libmpc3_1.3.1-1 libmpfr-dev_4.2.1-1 libmpfr6_4.2.1-1 libncurses-dev_6.4+20240113-1ubuntu1 libncurses6_6.4+20240113-1ubuntu1 libncursesw6_6.4+20240113-1ubuntu1 libnettle8t64_3.9.1-2.2 libnghttp2-14_1.59.0-1build1 libnpth0t64_1.6-3.1 libnsl-dev_1.3.0-3build2 libnsl2_1.3.0-3build2 libnss-nis_3.1-0ubuntu6 libnss-nisplus_1.3-5 libobjc-13-dev_13.2.0-21ubuntu1 libobjc4_14-20240315-1ubuntu1 libp11-kit0_0.25.3-4ubuntu1 libpam-modules_1.5.3-5ubuntu3 libpam-modules-bin_1.5.3-5ubuntu3 libpam-runtime_1.5.3-5ubuntu3 libpam0g_1.5.3-5ubuntu3 libpcre2-8-0_10.42-4ubuntu1 libperl5.36_5.36.0-9ubuntu1 libperl5.38t64_5.38.2-3.2 libpfm4_4.13.0+git32-g0d4ed0e-1 libpipeline1_1.5.7-1 libpng16-16_1.6.43-1 libproc2-0_2:4.0.4-4ubuntu2 libpsl5t64_0.21.2-1.1 libpython3-stdlib_3.12.2-0ubuntu1 libpython3.12-minimal_3.12.2-4build3 libpython3.12-stdlib_3.12.2-4build3 libreadline8t64_8.2-4 librtmp1_2.4+20151223.gitfa8646d.1-2build6 libsasl2-2_2.1.28+dfsg1-5ubuntu1 libsasl2-modules-db_2.1.28+dfsg1-5ubuntu1 libseccomp2_2.5.5-1ubuntu2 libselinux1_3.5-2ubuntu1 libsemanage-common_3.5-1build4 libsemanage2_3.5-1build4 libsepol2_3.5-2 libsframe1_2.42-4ubuntu1 libsigsegv2_2.14-1ubuntu1 libsmartcols1_2.39.3-9ubuntu2 libsqlite3-0_3.45.1-1ubuntu1 libss2_1.47.0-2.4~exp1ubuntu2 libssh-4_0.10.6-2build1 libssl3t64_3.0.13-0ubuntu2 libstdc++-13-dev_13.2.0-21ubuntu1 libstdc++6_14-20240315-1ubuntu1 libsub-override-perl_0.10-1 libsystemd-shared_255.4-1ubuntu5 libsystemd0_255.4-1ubuntu5 libtasn1-6_4.19.0-3 libtext-charwidth-perl_0.04-11build2 libtext-iconv-perl_1.7-8build2 libtext-unidecode-perl_1.30-3 libtext-wrapi18n-perl_0.06-10 libtinfo6_6.4+20240113-1ubuntu1 libtirpc-common_1.3.4+ds-1.1 libtirpc-dev_1.3.4+ds-1.1 libtirpc3t64_1.3.4+ds-1.1 libtool_2.4.7-7 libubsan1_14-20240315-1ubuntu1 libuchardet0_0.0.8-1 libudev1_255.4-1ubuntu5 libunistring2_1.0-2 libunistring5_1.1-2 libuuid1_2.39.3-9ubuntu2 libxml-libxml-perl_2.0207+dfsg+really+2.0134-1build3 libxml-namespacesupport-perl_1.12-2 libxml-sax-base-perl_1.09-3 libxml-sax-perl_1.02+dfsg-3 libxml2_2.9.14+dfsg-1.3ubuntu2 libxml2-dev_2.9.14+dfsg-1.3ubuntu2 libxxhash0_0.8.2-2 libyaml-0-2_0.2.5-1 libz3-4_4.8.12-3.1 libz3-dev_4.8.12-3.1 libzstd1_1.5.5+dfsg2-2 linux-libc-dev_6.8.0-20.20 llvm_1:18.0-59~exp1 llvm-18_1:18.1.2-1ubuntu2 llvm-18-dev_1:18.1.2-1ubuntu2 llvm-18-linker-tools_1:18.1.2-1ubuntu2 llvm-18-runtime_1:18.1.2-1ubuntu2 llvm-18-tools_1:18.1.2-1ubuntu2 llvm-dev_1:18.0-59~exp1 llvm-runtime_1:18.0-59~exp1 lockfile-progs_0.1.19build1 login_1:4.13+dfsg1-4ubuntu1 logsave_1.47.0-2.4~exp1ubuntu2 lsb-release_12.0-2 lto-disabled-list_47 m4_1.4.19-4 make_4.3-4.1build1 man-db_2.12.0-3build4 mawk_1.3.4.20240123-1 media-types_10.1.0 mount_2.39.3-9ubuntu2 ncurses-base_6.4+20240113-1ubuntu1 ncurses-bin_6.4+20240113-1ubuntu1 netbase_6.4 openssl_3.0.13-0ubuntu2 optipng_0.7.7-2build1 passwd_1:4.13+dfsg1-4ubuntu1 patch_2.7.6-7build2 patchutils_0.4.2-1build2 perl_5.38.2-3.2 perl-base_5.38.2-3.2 perl-modules-5.36_5.36.0-9ubuntu1 perl-modules-5.38_5.38.2-3.2 pinentry-curses_1.2.1-3ubuntu4 pkgbinarymangler_154 po-debconf_1.0.21+nmu1 policyrcd-script-zg2_0.1-3.1 procps_2:4.0.4-4ubuntu2 psmisc_23.7-1 python3_3.12.2-0ubuntu1 python3-minimal_3.12.2-0ubuntu1 python3-pkg-resources_68.1.2-2ubuntu1 python3-pygments_2.17.2+dfsg-1 python3-yaml_6.0.1-2build1 python3.12_3.12.2-4build3 python3.12-minimal_3.12.2-4build3 quilt_0.67+really0.67-4 readline-common_8.2-4 rpcsvc-proto_1.4.2-0ubuntu6 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.9-2 sensible-utils_0.0.22 sharutils_1:4.15.2-9 systemd_255.4-1ubuntu5 systemd-dev_255.4-1ubuntu5 systemd-sysv_255.4-1ubuntu5 sysvinit-utils_3.08-6ubuntu2 tar_1.35+dfsg-3 tex-common_6.18 texinfo_7.1-3build1 texinfo-lib_7.1-3build1 time_1.9-0.2 tzdata_2024a-1ubuntu1 ubuntu-keyring_2023.11.28.1 ucf_3.0043+nmu1 util-linux_2.39.3-9ubuntu2 uuid-runtime_2.39.3-9ubuntu2 xz-utils_5.6.0-0.2 zlib1g_1:1.3.dfsg-3.1ubuntu1 zlib1g-dev_1:1.3.dfsg-3.1ubuntu1 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-common, ghdl-mcode, ghdl-gcc, ghdl-llvm, ghdl-tools, libghdl-4-0-0, libghdl-dev Architecture: any Version: 4.0.0+dfsg-0ubuntu2 Maintainer: Ubuntu Developers Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Testsuite: autopkgtest Testsuite-Triggers: gcc, libc6-dev Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-13, gcc-13, g++-13, gcc-13-source , libisl-dev (>= 0.14) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , texinfo , llvm-dev , clang , zlib1g-dev Package-List: ghdl deb electronics optional arch=any ghdl-common deb electronics optional arch=any ghdl-gcc deb electronics optional arch=any profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=any profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=any-i386,any-amd64 profile=!pkg.ghdl.nomcode ghdl-tools deb electronics optional arch=any libghdl-4-0-0 deb electronics optional arch=any libghdl-dev deb electronics optional arch=any Checksums-Sha1: 79f1307b495aaaa3ba11ff8ca46c12c4844a934b 7226100 ghdl_4.0.0+dfsg.orig.tar.gz 754aa807bf5e0b7205e9328cb223c6034c53336c 26908 ghdl_4.0.0+dfsg-0ubuntu2.debian.tar.xz Checksums-Sha256: c1a1fb32352ce7c950f41611c9065a3c0c7938d72b42e82368afbb4a25c2df53 7226100 ghdl_4.0.0+dfsg.orig.tar.gz 134bdde00dbad7dfbc570ff1ea764698ad1309a930c6eb304384108bd7f60834 26908 ghdl_4.0.0+dfsg-0ubuntu2.debian.tar.xz Files: 6920a0bce643119c49cc3cb1849ed14e 7226100 ghdl_4.0.0+dfsg.orig.tar.gz c4a0f0733c4315dee1615dfa99ea3f4e 26908 ghdl_4.0.0+dfsg-0ubuntu2.debian.tar.xz Original-Maintainer: Debian Electronics Team -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEEVovyKmYzfL/Jprm3LIPbyOm9DjcFAmYBg4cACgkQLIPbyOm9 Djf/ig//WVMc1fxBmKNJB0D07vioqb5hNcbrWELDvZDafg2RtKxbOQijE+UO23dc 1DD29q88aDHIYCHc9wyHwqYPvsM3czGkQaOEyRbpaBnn65AIu8na0zLoPc8kKwiv fqlA9dvYuVL265FEwmUjNJP4NCU4bLM5LAST+dmYrxPZWfCup7aYYA97XDGkf+f/ RFUdfY53709wSN5CqM5jqeOrqhTF7Nx+hgQ+TkR9lZ8sBk1huvYXOTc0rBmIzEvx mbu3fqQTXAgEAVvBD0MUc4gNFVpKt9oR8r7nFS0/AX9b/M50Yy3acSdbEQHZAP49 SqzMjDd5Ryh+CVWDQg3GkqhcnaU9SV/iPIFhcnzoTLOptNmKLyPo+PxYf8kbrjrP RIlI2XV6XCC9Ga+g+AN974BN67Fh8tkkkllDifQsYMrrwyor9uABgymxCHBh9ouH 2WxcG54vi0pjiHyYpVf6b+FeCFL10Yn6mrdj6tUcjMvJXu20IXkUbvt0VMgZlaaI m1EK2WjY97Xhpc60VccX7mUJO+JLoPf+ngEYgmZrjdT9uaLOQ9CWGFZr6aGxVqo+ tH9YqWTCwfhk6ZsfY5R4juEmSxjmEcn1zOCMH4YkqF9JJ7ujAVlD/PBWm1fRE47h iSEBo8HT/fQaElWysL903ZMygNxq0IXV01XTxW2mBBrz4dsoPTk= =blDz -----END PGP SIGNATURE----- gpgv: Signature made Mon Mar 25 14:00:39 2024 UTC gpgv: using RSA key 568BF22A66337CBFC9A6B9B72C83DBC8E9BD0E37 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./ghdl_4.0.0+dfsg-0ubuntu2.dsc: no acceptable signature found dpkg-source: info: extracting ghdl in /<> dpkg-source: info: unpacking ghdl_4.0.0+dfsg.orig.tar.gz dpkg-source: info: unpacking ghdl_4.0.0+dfsg-0ubuntu2.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying modify-install-paths dpkg-source: info: applying adjust-compile-flags dpkg-source: info: applying extend-ghdl-manpage dpkg-source: info: applying skip-unsupported-tests dpkg-source: info: applying testsuite-pass-parameters dpkg-source: info: applying fix-testsuite-stderr-output dpkg-source: info: applying gcc-distro-specs dpkg-source: info: applying allow-non-linux-mcode dpkg-source: info: applying sparc-memmodel-include dpkg-source: info: applying 0001-configure-tentatively-add-support-for-llvm-18.1.patch Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-27959377 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-27959377 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-27959377 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- Command: dpkg-buildpackage -us -uc -mLaunchpad Build Daemon -B -rfakeroot dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 4.0.0+dfsg-0ubuntu2 dpkg-buildpackage: info: source distribution noble dpkg-source --before-build . dpkg-buildpackage: info: host architecture s390x debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' # dh_auto_clean complains about python-distutils and fails if it # doesn't see a Makefile, so override the default build system. dh_auto_clean --buildsystem=makefile make[1]: Leaving directory '/<>' debian/rules override_dh_clean make[1]: Entering directory '/<>' # An .orig file exists in the release, don't delete it to not create # spurious differences to the tarball/git. dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig make[1]: Leaving directory '/<>' debian/rules binary-arch dh binary-arch dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' dh_testdir mkdir -p /<>/builddir/llvm /<>/builddir/stamps ------------------------------------------------------------ Configuring with llvm backend ------------------------------------------------------------ cd /<>/builddir/llvm && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --libnativedir=lib/s390x-linux-gnu --enable-gplcompat \ --libdir=lib/ghdl/llvm \ --libghdldir=lib/ghdl/llvm/vhdl \ --with-llvm-config gcc-13 (Ubuntu 13.2.0-21ubuntu1) 13.2.0 Copyright (C) 2023 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Build machine is: s390x-linux-gnu Debugging is enabled with llvm 18.1.2 create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in make[2]: Entering directory '/<>/builddir/llvm' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/llvm/vhdl/$d; \ done make[2]: Leaving directory '/<>/builddir/llvm' Generate ghdlsynth_maybe.ads Generate grt-readline.ads touch /<>/builddir/stamps/configure-llvm dh_testdir mkdir -p /<>/builddir/gcc /<>/builddir/stamps ------------------------------------------------------------ Configuring with gcc backend ------------------------------------------------------------ # gcc unpack sequence cribbed from gcc-7-cross debian/rules # We have to disable the gcc-verbose-lto-link patch since it replaces # the LLINKER value with one that runs the linker under /usr/bin/time # and the spaces are not escaped properly somewhere on the ghdl side. set -e && \ cd /<>/builddir/gcc && \ ln -sf /usr/src/gcc-13/gcc-*.tar.* && \ cp -a /usr/src/gcc-13/debian/ . && \ if [ -n "$(grep -v '^\#' /<>/debian/gcc-patches/gcc-13/series)" ]; then \ cp -n /<>/debian/gcc-patches/gcc-13/*.diff debian/patches/ && \ cat /<>/debian/gcc-patches/gcc-13/series >> debian/patches/series && \ sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /<>/debian/gcc-patches/gcc-13/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \ fi && \ echo -n > /<>/builddir/gcc/debian/patches/gcc-verbose-lto-link.diff && \ debian/rules patch && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --libnativedir=lib/s390x-linux-gnu --enable-gplcompat \ --libdir=lib/ghdl/gcc \ --libghdldir=lib/ghdl/gcc/vhdl \ --with-gcc=src && \ make copy-sources && \ /usr/bin/make -f debian/rules2 configure PF=usr/lib/ghdl/gcc with_bootstrap=off \ with_cc1=no with_dev=no separate_lang=yes enabled_languages=vhdl \ with_common_libs=no with_common_pkgs=no \ with_check="skipped for GHDL build" grep: /<>/debian/gcc-patches/gcc-13/series: No such file or directory make[2]: Entering directory '/<>/builddir/gcc' Build environment: derivative=Ubuntu, release=noble : # unpack gcc tarball mkdir -p stamps if [ -d /<>/builddir/gcc/src ]; then \ echo >&2 "Source directory /<>/builddir/gcc/src exists. Delete by hand"; \ false; \ fi rm -rf gcc-13.2.0 tar -x -f gcc-13.2.0.tar.xz mv gcc-13.2.0 /<>/builddir/gcc/src ln -sf libsanitizer /<>/builddir/gcc/src/libasan echo "gcc-13.2.0.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-13.2.0.tar.xz echo -e "\nBuilt from Debian source package gcc-13-13.2.0-21ubuntu1" \ > pxxx echo -e "Integrated upstream packages in this version:\n" >> pxxx for i in gcc-13.2.0.tar.xz; do echo " $i" >> pxxx; done mv -f pxxx stamps/01-unpack-stamp echo git-updates.diff git-doc-updates.diff rename-info-files.diff gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff libstdc++-doxygen-SOURCE_DATE_EPOCH.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libffi-race-condition.diff cuda-float128.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff pr94253.diff gcc-arm-disable-guality-tests.diff musl-ssp.diff pr79724-revert.diff pr104290-followup.diff arc-stddef.diff pr107475.diff gccrs-bootstrap-mipsel.diff pr110066.diff pr88552.diff hppa64-libgcov-fallback.diff toplevel-add-gprofng.diff toplevel-add-libsframe.diff libiberty-sha1-1.diff libiberty-sha1-2.diff pr113030.diff libsanitizer-timebits.diff asan-allocator-base.diff gcc-vhdl.diff libsanitizer-no-crypt.diff pr99832-distro.diff pr114065-proposed.diff ada-gcc-name.diff ada-verbose.diff ada-link-lib.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-perl-shebang.diff disable-gdc-tests.diff alpha-ieee.diff alpha-ieee-doc.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff libstdc++-pythondir.diff gcc-verbose-lto-link.diff ada-armel-libatomic.diff ada-kfreebsd.diff hurd-amd64.diff hurd-multiarch.diff hurd-multilib-multiarch.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff \ | sed -r 's/ +/ /g' | tr " " "\n" > debian/patches/series echo gcc-distro-specs-ubuntu-doc.diff testsuite-hardening-format.diff testsuite-hardening-printf-types.diff testsuite-hardening-updates.diff testsuite-glibc-warnings.diff \ | sed -r 's/ +/ /g' | tr " " "\n" >> debian/patches/series sed -r 's/(.)$/\1 -p1/' -i debian/patches/series touch stamps/02-series-stamp sync QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \ quilt --quiltrc /dev/null push -a || test $? = 2 Applying patch git-updates.diff patching file src/contrib/ChangeLog patching file src/contrib/dg-extract-results.sh patching file src/fixincludes/ChangeLog patching file src/fixincludes/fixincl.x patching file src/fixincludes/inclhack.def patching file src/fixincludes/tests/base/math.h patching file src/gcc/ChangeLog patching file src/gcc/DATESTAMP patching file src/gcc/ada/ChangeLog patching file src/gcc/ada/checks.adb patching file src/gcc/ada/contracts.adb patching file src/gcc/ada/einfo-utils.adb patching file src/gcc/ada/einfo.ads patching file src/gcc/ada/exp_aggr.adb patching file src/gcc/ada/exp_ch3.adb patching file src/gcc/ada/exp_ch4.adb patching file src/gcc/ada/exp_ch5.adb patching file src/gcc/ada/exp_ch6.adb patching file src/gcc/ada/exp_ch6.ads patching file src/gcc/ada/exp_ch7.adb patching file src/gcc/ada/exp_imgv.adb patching file src/gcc/ada/exp_intr.adb patching file src/gcc/ada/exp_util.adb patching file src/gcc/ada/freeze.adb patching file src/gcc/ada/frontend.adb patching file src/gcc/ada/gcc-interface/decl.cc patching file src/gcc/ada/gcc-interface/trans.cc patching file src/gcc/ada/gcc-interface/utils.cc patching file src/gcc/ada/gcc-interface/utils2.cc patching file src/gcc/ada/inline.adb patching file src/gcc/ada/repinfo.adb patching file src/gcc/ada/repinfo.ads patching file src/gcc/ada/sem_aggr.adb patching file src/gcc/ada/sem_attr.adb patching file src/gcc/ada/sem_ch10.adb patching file src/gcc/ada/sem_ch12.adb patching file src/gcc/ada/sem_ch13.adb patching file src/gcc/ada/sem_ch3.adb patching file src/gcc/ada/sem_ch4.adb patching file src/gcc/ada/sem_ch4.ads patching file src/gcc/ada/sem_ch6.adb patching file src/gcc/ada/sem_ch7.adb patching file src/gcc/ada/sem_prag.adb patching file src/gcc/ada/sem_res.adb patching file src/gcc/ada/sem_type.adb patching file src/gcc/ada/sem_util.adb patching file src/gcc/ada/sem_util.ads patching file src/gcc/asan.cc patching file src/gcc/attribs.cc patching file src/gcc/bb-reorder.cc patching file src/gcc/bitmap.cc patching file src/gcc/c-family/ChangeLog patching file src/gcc/c-family/c-attribs.cc patching file src/gcc/c-family/c-common.cc patching file src/gcc/c-family/c-lex.cc patching file src/gcc/c-family/c-pch.cc patching file src/gcc/c-family/c-pragma.cc patching file src/gcc/c-family/c-pretty-print.cc patching file src/gcc/calls.cc patching file src/gcc/cfgexpand.cc patching file src/gcc/cfgrtl.cc patching file src/gcc/cfgrtl.h patching file src/gcc/combine.cc patching file src/gcc/common.opt patching file src/gcc/common/config/avr/avr-common.cc patching file src/gcc/common/config/i386/cpuinfo.h patching file src/gcc/common/config/i386/i386-common.cc patching file src/gcc/config.host patching file src/gcc/config.in patching file src/gcc/config/aarch64/aarch64-builtins.cc patching file src/gcc/config/aarch64/aarch64-cores.def patching file src/gcc/config/aarch64/aarch64-protos.h patching file src/gcc/config/aarch64/aarch64-tune.md patching file src/gcc/config/aarch64/aarch64.cc patching file src/gcc/config/aarch64/aarch64.h patching file src/gcc/config/aarch64/aarch64.md patching file src/gcc/config/aarch64/iterators.md patching file src/gcc/config/arm/neon.md patching file src/gcc/config/avr/avr-arch.h patching file src/gcc/config/avr/avr-c.cc patching file src/gcc/config/avr/avr-devices.cc patching file src/gcc/config/avr/avr-mcus.def patching file src/gcc/config/avr/avr-protos.h patching file src/gcc/config/avr/avr.cc patching file src/gcc/config/avr/avr.h patching file src/gcc/config/avr/avr.md patching file src/gcc/config/avr/avr.opt patching file src/gcc/config/avr/driver-avr.cc patching file src/gcc/config/avr/gen-avr-mmcu-specs.cc patching file src/gcc/config/i386/amxtileintrin.h patching file src/gcc/config/i386/driver-i386.cc patching file src/gcc/config/i386/i386-builtin.def patching file src/gcc/config/i386/i386-builtins.cc patching file src/gcc/config/i386/i386-c.cc patching file src/gcc/config/i386/i386-expand.cc patching file src/gcc/config/i386/i386-features.cc patching file src/gcc/config/i386/i386-features.h patching file src/gcc/config/i386/i386-options.cc patching file src/gcc/config/i386/i386.cc patching file src/gcc/config/i386/i386.h patching file src/gcc/config/i386/i386.md patching file src/gcc/config/i386/i386.opt patching file src/gcc/config/i386/mmx.md patching file src/gcc/config/i386/sse.md patching file src/gcc/config/i386/x86-tune.def patching file src/gcc/config/loongarch/genopts/loongarch.opt.in patching file src/gcc/config/loongarch/gnu-user.h patching file src/gcc/config/loongarch/loongarch-opts.h patching file src/gcc/config/loongarch/loongarch.cc patching file src/gcc/config/loongarch/loongarch.h patching file src/gcc/config/loongarch/loongarch.md patching file src/gcc/config/loongarch/loongarch.opt patching file src/gcc/config/loongarch/sync.md patching file src/gcc/config/loongarch/t-loongarch patching file src/gcc/config/mips/driver-native.cc patching file src/gcc/config/mips/mips-msa.md patching file src/gcc/config/pa/pa.cc patching file src/gcc/config/pa/pa.md patching file src/gcc/config/riscv/riscv-protos.h patching file src/gcc/config/riscv/riscv-v.cc patching file src/gcc/config/riscv/riscv-vector-builtins-bases.cc patching file src/gcc/config/riscv/riscv-vsetvl.cc patching file src/gcc/config/riscv/riscv-vsetvl.h patching file src/gcc/config/riscv/riscv.cc patching file src/gcc/config/riscv/sync.md patching file src/gcc/config/riscv/t-riscv patching file src/gcc/config/riscv/thead.cc patching file src/gcc/config/rs6000/mma.md patching file src/gcc/config/rs6000/predicates.md patching file src/gcc/config/rs6000/rs6000-string.cc patching file src/gcc/config/rs6000/rs6000.cc patching file src/gcc/config/rs6000/rs6000.h patching file src/gcc/config/rs6000/rs6000.md patching file src/gcc/config/rs6000/vsx.md patching file src/gcc/config/sh/sh.cc patching file src/gcc/config/sh/sh.md patching file src/gcc/config/sh/sh_treg_combine.cc patching file src/gcc/config/sparc/sparc.cc patching file src/gcc/config/sparc/sync.md patching file src/gcc/config/vax/vax.cc patching file src/gcc/configure patching file src/gcc/configure.ac patching file src/gcc/cp/ChangeLog patching file src/gcc/cp/call.cc patching file src/gcc/cp/class.cc patching file src/gcc/cp/constexpr.cc patching file src/gcc/cp/contracts.cc patching file src/gcc/cp/cp-gimplify.cc patching file src/gcc/cp/cp-tree.h patching file src/gcc/cp/cxx-pretty-print.cc patching file src/gcc/cp/decl.cc patching file src/gcc/cp/decl2.cc patching file src/gcc/cp/except.cc patching file src/gcc/cp/init.cc patching file src/gcc/cp/method.cc patching file src/gcc/cp/parser.cc patching file src/gcc/cp/pt.cc patching file src/gcc/cp/semantics.cc patching file src/gcc/cp/tree.cc patching file src/gcc/cp/typeck.cc patching file src/gcc/d/ChangeLog patching file src/gcc/d/d-builtins.cc patching file src/gcc/d/d-codegen.cc patching file src/gcc/d/d-convert.cc patching file src/gcc/d/d-target.cc patching file src/gcc/d/d-tree.h patching file src/gcc/d/decl.cc patching file src/gcc/d/expr.cc patching file src/gcc/d/modules.cc patching file src/gcc/d/types.cc patching file src/gcc/dwarf2out.cc patching file src/gcc/fold-const.cc patching file src/gcc/fortran/ChangeLog patching file src/gcc/fortran/array.cc patching file src/gcc/fortran/check.cc patching file src/gcc/fortran/decl.cc patching file src/gcc/fortran/expr.cc patching file src/gcc/fortran/frontend-passes.cc patching file src/gcc/fortran/gfortran.h patching file src/gcc/fortran/interface.cc patching file src/gcc/fortran/match.cc patching file src/gcc/fortran/parse.cc patching file src/gcc/fortran/primary.cc patching file src/gcc/fortran/resolve.cc patching file src/gcc/fortran/trans-array.cc patching file src/gcc/fortran/trans-expr.cc patching file src/gcc/fortran/trans-intrinsic.cc patching file src/gcc/fortran/trans-stmt.cc patching file src/gcc/fortran/trans-types.cc patching file src/gcc/function.cc patching file src/gcc/genmatch.cc patching file src/gcc/ggc-common.cc patching file src/gcc/gimple-isel.cc patching file src/gcc/gimple-iterator.cc patching file src/gcc/gimple-iterator.h patching file src/gcc/gimple-low.cc patching file src/gcc/gimple-predicate-analysis.cc patching file src/gcc/gimple-range-cache.cc patching file src/gcc/gimple-range-gori.cc patching file src/gcc/gimple-ssa-backprop.cc patching file src/gcc/gimple-ssa-store-merging.cc patching file src/gcc/gimple-ssa-warn-access.cc patching file src/gcc/graphite-sese-to-poly.cc patching file src/gcc/ipa-icf.cc patching file src/gcc/lower-subreg.cc patching file src/gcc/lra-constraints.cc patching file src/gcc/lra-eliminations.cc patching file src/gcc/lra.cc patching file src/gcc/lto-streamer.h patching file src/gcc/m2/ChangeLog patching file src/gcc/m2/Make-lang.in patching file src/gcc/m2/Make-maintainer.in patching file src/gcc/m2/README patching file src/gcc/m2/gm2-compiler/M2ALU.mod patching file src/gcc/m2/gm2-compiler/M2BasicBlock.def patching file src/gcc/m2/gm2-compiler/M2BasicBlock.mod patching file src/gcc/m2/gm2-compiler/M2CaseList.def patching file src/gcc/m2/gm2-compiler/M2CaseList.mod patching file src/gcc/m2/gm2-compiler/M2Check.mod patching file src/gcc/m2/gm2-compiler/M2Code.mod patching file src/gcc/m2/gm2-compiler/M2Error.mod patching file src/gcc/m2/gm2-compiler/M2GCCDeclare.def patching file src/gcc/m2/gm2-compiler/M2GCCDeclare.mod patching file src/gcc/m2/gm2-compiler/M2GenGCC.def patching file src/gcc/m2/gm2-compiler/M2GenGCC.mod patching file src/gcc/m2/gm2-compiler/M2MetaError.mod patching file src/gcc/m2/gm2-compiler/M2Optimize.mod patching file src/gcc/m2/gm2-compiler/M2Options.def patching file src/gcc/m2/gm2-compiler/M2Options.mod patching file src/gcc/m2/gm2-compiler/M2Quads.def patching file src/gcc/m2/gm2-compiler/M2Quads.mod patching file src/gcc/m2/gm2-compiler/M2Range.mod patching file src/gcc/m2/gm2-compiler/M2Scope.def patching file src/gcc/m2/gm2-compiler/M2Scope.mod patching file src/gcc/m2/gm2-compiler/M2SymInit.def patching file src/gcc/m2/gm2-compiler/M2SymInit.mod patching file src/gcc/m2/gm2-compiler/P0SyntaxCheck.bnf patching file src/gcc/m2/gm2-compiler/P1Build.bnf patching file src/gcc/m2/gm2-compiler/P2Build.bnf patching file src/gcc/m2/gm2-compiler/P2SymBuild.mod patching file src/gcc/m2/gm2-compiler/P3Build.bnf patching file src/gcc/m2/gm2-compiler/PCBuild.bnf patching file src/gcc/m2/gm2-compiler/PCSymBuild.mod patching file src/gcc/m2/gm2-compiler/PHBuild.bnf patching file src/gcc/m2/gm2-compiler/SymbolKey.mod patching file src/gcc/m2/gm2-compiler/SymbolTable.def patching file src/gcc/m2/gm2-compiler/SymbolTable.mod patching file src/gcc/m2/gm2-gcc/gcc-consolidation.h patching file src/gcc/m2/gm2-gcc/init.cc patching file src/gcc/m2/gm2-gcc/m2decl.cc patching file src/gcc/m2/gm2-gcc/m2decl.def patching file src/gcc/m2/gm2-gcc/m2decl.h patching file src/gcc/m2/gm2-gcc/m2expr.cc patching file src/gcc/m2/gm2-gcc/m2expr.def patching file src/gcc/m2/gm2-gcc/m2expr.h patching file src/gcc/m2/gm2-gcc/m2options.h patching file src/gcc/m2/gm2-gcc/m2tree.cc patching file src/gcc/m2/gm2-gcc/m2type.cc patching file src/gcc/m2/gm2-lang.cc patching file src/gcc/m2/gm2-libs-coroutines/TimerHandler.mod patching file src/gcc/m2/gm2-libs-iso/LongIO.mod patching file src/gcc/m2/gm2-libs-iso/LongWholeIO.mod patching file src/gcc/m2/gm2-libs-iso/RTgen.mod patching file src/gcc/m2/gm2-libs-iso/RealIO.mod patching file src/gcc/m2/gm2-libs-iso/SeqFile.mod patching file src/gcc/m2/gm2-libs-iso/ShortWholeIO.mod patching file src/gcc/m2/gm2-libs-iso/Strings.mod patching file src/gcc/m2/gm2-libs-iso/SysClock.mod patching file src/gcc/m2/gm2-libs-iso/TextIO.mod patching file src/gcc/m2/gm2-libs-iso/TextUtil.def patching file src/gcc/m2/gm2-libs-iso/TextUtil.mod patching file src/gcc/m2/gm2-libs-iso/WholeIO.mod patching file src/gcc/m2/gm2-libs-iso/wrapclock.def patching file src/gcc/m2/gm2-libs/DynamicStrings.mod patching file src/gcc/m2/gm2-libs/FIO.def patching file src/gcc/m2/gm2-libs/FIO.mod patching file src/gcc/m2/gm2-libs/IO.mod patching file src/gcc/m2/gm2-libs/M2Dependent.mod patching file src/gcc/m2/gm2spec.cc patching file src/gcc/m2/lang.opt patching file src/gcc/m2/m2.flex patching file src/gcc/m2/m2pp.cc patching file src/gcc/m2/mc-boot/GDynamicStrings.cc patching file src/gcc/m2/mc-boot/GFIO.cc patching file src/gcc/m2/mc-boot/GFormatStrings.cc patching file src/gcc/m2/mc-boot/GM2Dependent.cc patching file src/gcc/m2/mc-boot/GM2Dependent.h patching file src/gcc/m2/mc-boot/GM2LINK.h patching file src/gcc/m2/mc-boot/GM2RTS.cc patching file src/gcc/m2/mc-boot/GM2RTS.h patching file src/gcc/m2/mc-boot/GRTExceptions.cc patching file src/gcc/m2/mc-boot/GRTint.cc patching file src/gcc/m2/mc-boot/GSFIO.cc patching file src/gcc/m2/mc-boot/GStringConvert.cc patching file src/gcc/m2/mc-boot/Gdecl.cc patching file src/gcc/m2/pge-boot/GASCII.cc patching file src/gcc/m2/pge-boot/GASCII.h patching file src/gcc/m2/pge-boot/GArgs.cc patching file src/gcc/m2/pge-boot/GArgs.h patching file src/gcc/m2/pge-boot/GAssertion.cc patching file src/gcc/m2/pge-boot/GAssertion.h patching file src/gcc/m2/pge-boot/GBreak.h patching file src/gcc/m2/pge-boot/GCmdArgs.h patching file src/gcc/m2/pge-boot/GDebug.cc patching file src/gcc/m2/pge-boot/GDebug.h patching file src/gcc/m2/pge-boot/GDynamicStrings.cc patching file src/gcc/m2/pge-boot/GDynamicStrings.h patching file src/gcc/m2/pge-boot/GEnvironment.h patching file src/gcc/m2/pge-boot/GFIO.cc patching file src/gcc/m2/pge-boot/GFIO.h patching file src/gcc/m2/pge-boot/GFormatStrings.h patching file src/gcc/m2/pge-boot/GFpuIO.h patching file src/gcc/m2/pge-boot/GIO.cc patching file src/gcc/m2/pge-boot/GIO.h patching file src/gcc/m2/pge-boot/GIndexing.cc patching file src/gcc/m2/pge-boot/GIndexing.h patching file src/gcc/m2/pge-boot/GLists.cc patching file src/gcc/m2/pge-boot/GLists.h patching file src/gcc/m2/pge-boot/GM2Dependent.cc patching file src/gcc/m2/pge-boot/GM2Dependent.h patching file src/gcc/m2/pge-boot/GM2EXCEPTION.cc patching file src/gcc/m2/pge-boot/GM2EXCEPTION.h patching file src/gcc/m2/pge-boot/GM2LINK.cc patching file src/gcc/m2/pge-boot/GM2LINK.h patching file src/gcc/m2/pge-boot/GM2RTS.cc patching file src/gcc/m2/pge-boot/GM2RTS.h patching file src/gcc/m2/pge-boot/GNameKey.cc patching file src/gcc/m2/pge-boot/GNameKey.h patching file src/gcc/m2/pge-boot/GNumberIO.cc patching file src/gcc/m2/pge-boot/GNumberIO.h patching file src/gcc/m2/pge-boot/GOutput.cc patching file src/gcc/m2/pge-boot/GOutput.h patching file src/gcc/m2/pge-boot/GPushBackInput.cc patching file src/gcc/m2/pge-boot/GPushBackInput.h patching file src/gcc/m2/pge-boot/GRTExceptions.cc patching file src/gcc/m2/pge-boot/GRTExceptions.h patching file src/gcc/m2/pge-boot/GSArgs.h patching file src/gcc/m2/pge-boot/GSEnvironment.h patching file src/gcc/m2/pge-boot/GSFIO.cc patching file src/gcc/m2/pge-boot/GSFIO.h patching file src/gcc/m2/pge-boot/GSYSTEM.h patching file src/gcc/m2/pge-boot/GScan.h patching file src/gcc/m2/pge-boot/GStdIO.cc patching file src/gcc/m2/pge-boot/GStdIO.h patching file src/gcc/m2/pge-boot/GStorage.cc patching file src/gcc/m2/pge-boot/GStorage.h patching file src/gcc/m2/pge-boot/GStrCase.cc patching file src/gcc/m2/pge-boot/GStrCase.h patching file src/gcc/m2/pge-boot/GStrIO.cc patching file src/gcc/m2/pge-boot/GStrIO.h patching file src/gcc/m2/pge-boot/GStrLib.cc patching file src/gcc/m2/pge-boot/GStrLib.h patching file src/gcc/m2/pge-boot/GStringConvert.h patching file src/gcc/m2/pge-boot/GSymbolKey.cc patching file src/gcc/m2/pge-boot/GSymbolKey.h patching file src/gcc/m2/pge-boot/GSysExceptions.h patching file src/gcc/m2/pge-boot/GSysStorage.cc patching file src/gcc/m2/pge-boot/GSysStorage.h patching file src/gcc/m2/pge-boot/GTimeString.h patching file src/gcc/m2/pge-boot/GUnixArgs.h patching file src/gcc/m2/pge-boot/Gbnflex.cc patching file src/gcc/m2/pge-boot/Gbnflex.h patching file src/gcc/m2/pge-boot/Gdtoa.h patching file src/gcc/m2/pge-boot/Gerrno.h patching file src/gcc/m2/pge-boot/Gldtoa.h patching file src/gcc/m2/pge-boot/Glibc.h patching file src/gcc/m2/pge-boot/Glibm.h patching file src/gcc/m2/pge-boot/Gpge.cc patching file src/gcc/m2/pge-boot/Gtermios.h patching file src/gcc/m2/pge-boot/Gwrapc.h patching file src/gcc/m2/tools-src/checkmeta.py patching file src/gcc/match.pd patching file src/gcc/omp-expand.cc patching file src/gcc/opts.cc patching file src/gcc/params.opt patching file src/gcc/po/ChangeLog patching file src/gcc/po/be.po patching file src/gcc/po/da.po patching file src/gcc/po/de.po patching file src/gcc/po/el.po patching file src/gcc/po/es.po patching file src/gcc/po/fi.po patching file src/gcc/po/fr.po patching file src/gcc/po/hr.po patching file src/gcc/po/id.po patching file src/gcc/po/ja.po patching file src/gcc/po/nl.po patching file src/gcc/po/ru.po patching file src/gcc/po/sr.po patching file src/gcc/po/sv.po patching file src/gcc/po/tr.po patching file src/gcc/po/uk.po patching file src/gcc/po/vi.po patching file src/gcc/po/zh_CN.po patching file src/gcc/po/zh_TW.po patching file src/gcc/range-op-float.cc patching file src/gcc/reorg.cc patching file src/gcc/simplify-rtx.cc patching file src/gcc/testsuite/ChangeLog patching file src/gcc/testsuite/c-c++-common/Wattributes-3.c patching file src/gcc/testsuite/c-c++-common/alias-1.c patching file src/gcc/testsuite/c-c++-common/cpp/Wunknown-pragmas-1.c patching file src/gcc/testsuite/c-c++-common/ubsan/Wno-attributes-1.c patching file src/gcc/testsuite/c-c++-common/ubsan/pr112727.c patching file src/gcc/testsuite/g++.dg/concepts/diagnostic19.C patching file src/gcc/testsuite/g++.dg/contracts/contracts-post7.C patching file src/gcc/testsuite/g++.dg/contracts/contracts-tmpl-spec2.C patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-75.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-empty18.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-empty19.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-template12.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-array21.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-array22.C patching file src/gcc/testsuite/g++.dg/cpp0x/lambda/lambda-const11a.C patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept80.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-reinterpret3.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-reinterpret4.C patching file src/gcc/testsuite/g++.dg/cpp1y/var-templ-array1.C patching file src/gcc/testsuite/g++.dg/cpp1y/var-templ85.C patching file src/gcc/testsuite/g++.dg/cpp1z/array-prvalue2.C patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-template1.C patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-template2.C patching file src/gcc/testsuite/g++.dg/cpp1z/eval-order3.C patching file src/gcc/testsuite/g++.dg/cpp1z/variant1.C patching file src/gcc/testsuite/g++.dg/cpp23/attr-assume12.C patching file src/gcc/testsuite/g++.dg/cpp23/auto-fncast13.C patching file src/gcc/testsuite/g++.dg/cpp23/auto-fncast14.C patching file src/gcc/testsuite/g++.dg/cpp23/subscript15.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-explicit-inst6.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-fn8.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-friend11.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-friend15.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-requires35.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-throw1.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ttp5.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ttp6.C patching file src/gcc/testsuite/g++.dg/cpp2a/no_unique_address15.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class60.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class61.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class62.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-float3.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-eq16.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-eq17.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-sfinae3.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-synth-neg3.C patching file src/gcc/testsuite/g++.dg/diagnostic/constexpr4.C patching file src/gcc/testsuite/g++.dg/eh/return1.C patching file src/gcc/testsuite/g++.dg/eh/return2.C patching file src/gcc/testsuite/g++.dg/eh/return3.C patching file src/gcc/testsuite/g++.dg/eh/throw4.C patching file src/gcc/testsuite/g++.dg/ext/frounding-math1.C patching file src/gcc/testsuite/g++.dg/ext/unroll-5.C patching file src/gcc/testsuite/g++.dg/opt/delay-slot-2.C patching file src/gcc/testsuite/g++.dg/opt/nrv22.C patching file src/gcc/testsuite/g++.dg/pch/line-map-1.C patching file src/gcc/testsuite/g++.dg/pch/line-map-1.Hs patching file src/gcc/testsuite/g++.dg/pch/line-map-2.C patching file src/gcc/testsuite/g++.dg/pch/line-map-2.Hs patching file src/gcc/testsuite/g++.dg/template/template-keyword4.C patching file src/gcc/testsuite/g++.dg/template/ttp38.C patching file src/gcc/testsuite/g++.dg/torture/pr111019.C patching file src/gcc/testsuite/g++.dg/torture/pr111465.C patching file src/gcc/testsuite/g++.dg/torture/pr113896.C patching file src/gcc/testsuite/g++.dg/vect/pr112793.cc patching file src/gcc/testsuite/g++.dg/warn/Wmismatched-new-delete-8.C patching file src/gcc/testsuite/g++.dg/warn/Wuse-after-free3.C patching file src/gcc/testsuite/g++.target/i386/pr111497.C patching file src/gcc/testsuite/g++.target/i386/pr111822.C patching file src/gcc/testsuite/g++.target/i386/pr112443.C patching file src/gcc/testsuite/g++.target/loongarch/atomic-cas-int.C patching file src/gcc/testsuite/g++.target/powerpc/pr110741.C patching file src/gcc/testsuite/g++.target/powerpc/pr111366.C patching file src/gcc/testsuite/g++.target/powerpc/pr111367.C patching file src/gcc/testsuite/g++.target/powerpc/pr111828-1.C patching file src/gcc/testsuite/g++.target/powerpc/pr111828-2.C patching file src/gcc/testsuite/gcc.c-torture/compile/pr110386-1.c patching file src/gcc/testsuite/gcc.c-torture/compile/pr110386-2.c patching file src/gcc/testsuite/gcc.c-torture/compile/pr111699-1.c patching file src/gcc/testsuite/gcc.c-torture/compile/pr113603.c patching file src/gcc/testsuite/gcc.c-torture/execute/ieee/fp-cmp-cond-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr110115.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr110914.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111331-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111331-2.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111331-3.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111408.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111422.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr112758.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr114396.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr90348.c patching file src/gcc/testsuite/gcc.dg/Wfree-nonheap-object-7.c patching file src/gcc/testsuite/gcc.dg/analyzer/null-deref-pr108251-smp_fetch_ssl_fc_has_early-O2.c patching file src/gcc/testsuite/gcc.dg/asan/pr112709-2.c patching file src/gcc/testsuite/gcc.dg/attr-assume-6.c patching file src/gcc/testsuite/gcc.dg/c23-attr-syntax-8.c patching file src/gcc/testsuite/gcc.dg/c23-stdarg-6.c patching file src/gcc/testsuite/gcc.dg/debug/dwarf2/pr111080.c patching file src/gcc/testsuite/gcc.dg/debug/pr112718.c patching file src/gcc/testsuite/gcc.dg/float_opposite_arm-1.c patching file src/gcc/testsuite/gcc.dg/graphite/run-id-pr114041-2.c patching file src/gcc/testsuite/gcc.dg/ifcvt-4.c patching file src/gcc/testsuite/gcc.dg/lto/pr88077_0.c patching file src/gcc/testsuite/gcc.dg/pr107385.c patching file src/gcc/testsuite/gcc.dg/pr110079.c patching file src/gcc/testsuite/gcc.dg/pr110755.c patching file src/gcc/testsuite/gcc.dg/pr111015.c patching file src/gcc/testsuite/gcc.dg/pr111039.c patching file src/gcc/testsuite/gcc.dg/pr111070.c patching file src/gcc/testsuite/gcc.dg/pr111694.c patching file src/gcc/testsuite/gcc.dg/pr112618.c patching file src/gcc/testsuite/gcc.dg/pr112733.c patching file src/gcc/testsuite/gcc.dg/pr112837.c patching file src/gcc/testsuite/gcc.dg/pr112845.c patching file src/gcc/testsuite/gcc.dg/pr113013.c patching file src/gcc/testsuite/gcc.dg/pr113262.c patching file src/gcc/testsuite/gcc.dg/pr113907-1.c patching file src/gcc/testsuite/gcc.dg/pr114090.c patching file src/gcc/testsuite/gcc.dg/pr114211.c patching file src/gcc/testsuite/gcc.dg/pr114310.c patching file src/gcc/testsuite/gcc.dg/pr56837.c patching file src/gcc/testsuite/gcc.dg/rtl/aarch64/pr111411.c patching file src/gcc/testsuite/gcc.dg/torture/pr110176.c patching file src/gcc/testsuite/gcc.dg/torture/pr110422.c patching file src/gcc/testsuite/gcc.dg/torture/pr110702.c patching file src/gcc/testsuite/gcc.dg/torture/pr110766.c patching file src/gcc/testsuite/gcc.dg/torture/pr110799.c patching file src/gcc/testsuite/gcc.dg/torture/pr111137.c patching file src/gcc/testsuite/gcc.dg/torture/pr111445.c patching file src/gcc/testsuite/gcc.dg/torture/pr111519.c patching file src/gcc/testsuite/gcc.dg/torture/pr111614.c patching file src/gcc/testsuite/gcc.dg/torture/pr111815.c patching file src/gcc/testsuite/gcc.dg/torture/pr111818.c patching file src/gcc/testsuite/gcc.dg/torture/pr111917.c patching file src/gcc/testsuite/gcc.dg/torture/pr114070.c patching file src/gcc/testsuite/gcc.dg/torture/pr114203.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr111583-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr111583-2.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr111967.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr113993.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr112709-1.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr112709-2.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr113012.c patching file src/gcc/testsuite/gcc.dg/uninit-pr111397.c patching file src/gcc/testsuite/gcc.dg/uninit-pr111489.c patching file src/gcc/testsuite/gcc.dg/vect/bb-slp-layout-19.c patching file src/gcc/testsuite/gcc.dg/vect/pr111764.c patching file src/gcc/testsuite/gcc.dg/vect/pr112505.c patching file src/gcc/testsuite/gcc.dg/vect/pr114027.c patching file src/gcc/testsuite/gcc.dg/vect/pr114231.c patching file src/gcc/testsuite/gcc.dg/vect/tsvc/tsvc.h patching file src/gcc/testsuite/gcc.misc-tests/options.exp patching file src/gcc/testsuite/gcc.target/aarch64/acle/memtag_4.c patching file src/gcc/testsuite/gcc.target/aarch64/ldp_stp_unaligned_2.c patching file src/gcc/testsuite/gcc.target/aarch64/mops_4.c patching file src/gcc/testsuite/gcc.target/aarch64/pr112787.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_5.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_6.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_7.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_8.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-12.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-11.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-12.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-13.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-14.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-15.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-17.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-18.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-19.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-2.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-20.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-5.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-6.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-8.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-9.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-8.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-9.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pcs/stack_clash_3.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr110280.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/struct_vect_24.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_1.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_10.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_11.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_13.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_15.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_2.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_4.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_6.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_7.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_8.c patching file src/gcc/testsuite/gcc.target/aarch64/torture/pr111677.c patching file src/gcc/testsuite/gcc.target/arm/neon-recip-div-1.c patching file src/gcc/testsuite/gcc.target/avr/attribute-io.h patching file src/gcc/testsuite/gcc.target/avr/pr105523.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-0.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-1.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-2.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-3.c patching file src/gcc/testsuite/gcc.target/avr/pr53372-1.c patching file src/gcc/testsuite/gcc.target/avr/pr53372-2.c patching file src/gcc/testsuite/gcc.target/i386/amxtile-4.c patching file src/gcc/testsuite/gcc.target/i386/avx2-gather-2.c patching file src/gcc/testsuite/gcc.target/i386/avx2-gather-6.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr88464-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr88464-5.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-11.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-3.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-9.c patching file src/gcc/testsuite/gcc.target/i386/pr103144-mul-1.c patching file src/gcc/testsuite/gcc.target/i386/pr103144-mul-2.c patching file src/gcc/testsuite/gcc.target/i386/pr110170-3.c patching file src/gcc/testsuite/gcc.target/i386/pr111127.c patching file src/gcc/testsuite/gcc.target/i386/pr111306.c patching file src/gcc/testsuite/gcc.target/i386/pr111340.c patching file src/gcc/testsuite/gcc.target/i386/pr111736.c patching file src/gcc/testsuite/gcc.target/i386/pr111820-1.c patching file src/gcc/testsuite/gcc.target/i386/pr111820-2.c patching file src/gcc/testsuite/gcc.target/i386/pr111820-3.c patching file src/gcc/testsuite/gcc.target/i386/pr112495.c patching file src/gcc/testsuite/gcc.target/i386/pr112496.c patching file src/gcc/testsuite/gcc.target/i386/pr112672.c patching file src/gcc/testsuite/gcc.target/i386/pr112891-2.c patching file src/gcc/testsuite/gcc.target/i386/pr112891.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-1.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-2.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-3.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-4.c patching file src/gcc/testsuite/gcc.target/i386/pr113622-1.c patching file src/gcc/testsuite/gcc.target/i386/pr113656.c patching file src/gcc/testsuite/gcc.target/i386/pr113670.c patching file src/gcc/testsuite/gcc.target/i386/pr113921.c patching file src/gcc/testsuite/gcc.target/i386/pr114184.c patching file src/gcc/testsuite/gcc.target/i386/pr114339.c patching file src/gcc/testsuite/gcc.target/i386/pr88531-1b.c patching file src/gcc/testsuite/gcc.target/i386/pr88531-1c.c patching file src/gcc/testsuite/gcc.target/i386/sse2-pr112816-2.c patching file src/gcc/testsuite/gcc.target/i386/sse2-pr112816.c patching file src/gcc/testsuite/gcc.target/loongarch/builtin_thread_pointer.c patching file src/gcc/testsuite/gcc.target/loongarch/cas-acquire.c patching file src/gcc/testsuite/gcc.target/powerpc/clone1.c patching file src/gcc/testsuite/gcc.target/powerpc/clone3.c patching file src/gcc/testsuite/gcc.target/powerpc/mod-1.c patching file src/gcc/testsuite/gcc.target/powerpc/mod-2.c patching file src/gcc/testsuite/gcc.target/powerpc/p10-vdivq-vmodq.c patching file src/gcc/testsuite/gcc.target/powerpc/pr110411-1.c patching file src/gcc/testsuite/gcc.target/powerpc/pr110411-2.c patching file src/gcc/testsuite/gcc.target/powerpc/pr111380-1.c patching file src/gcc/testsuite/gcc.target/powerpc/pr111380-2.c patching file src/gcc/testsuite/gcc.target/powerpc/pr113950.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96762.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-5.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-5.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-6.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-7.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-5.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-load-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-load-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-load-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-store-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-store-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-store-compat-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-5.c patching file src/gcc/testsuite/gcc.target/riscv/arch-19.c patching file src/gcc/testsuite/gcc.target/riscv/pr89835.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/pr111412.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/pr111935.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/scalar_move-10.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/scalar_move-11.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1down-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1down-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1down-3.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1up-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1up-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1up-3.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-79.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-80.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-86.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-87.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-88.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-89.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-90.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-24.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-25.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-26.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-3.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-36.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-4.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_conflict-7.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_switch_vtype-14.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_switch_vtype-15.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_switch_vtype-16.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-5.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-6.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-7.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-8.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvlmax-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvlmax-4.c patching file src/gcc/testsuite/gdc.dg/imports/pr113125.d patching file src/gcc/testsuite/gdc.dg/pr110712.d patching file src/gcc/testsuite/gdc.dg/pr112270.d patching file src/gcc/testsuite/gdc.dg/pr112285.d patching file src/gcc/testsuite/gdc.dg/pr112290.d patching file src/gcc/testsuite/gdc.dg/pr113125.d patching file src/gcc/testsuite/gdc.dg/torture/pr113758.d patching file src/gcc/testsuite/gdc.dg/torture/pr114171.d patching file src/gcc/testsuite/gdc.test/compilable/previewin.d patching file src/gcc/testsuite/gfortran.dg/allocate_with_source_25.f90 patching file src/gcc/testsuite/gfortran.dg/allocate_with_source_27.f90 patching file src/gcc/testsuite/gfortran.dg/allocate_with_source_28.f90 patching file src/gcc/testsuite/gfortran.dg/associate_54.f90 patching file src/gcc/testsuite/gfortran.dg/associate_62.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_10.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_8.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_9.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_type_18.f90 patching file src/gcc/testsuite/gfortran.dg/coarray/alloc_comp_6.f90 patching file src/gcc/testsuite/gfortran.dg/coarray/alloc_comp_7.f90 patching file src/gcc/testsuite/gfortran.dg/coarray_poly_6.f90 patching file src/gcc/testsuite/gfortran.dg/coarray_poly_7.f90 patching file src/gcc/testsuite/gfortran.dg/coarray_poly_8.f90 patching file src/gcc/testsuite/gfortran.dg/contiguous_15.f90 patching file src/gcc/testsuite/gfortran.dg/dtio_25.f90 patching file src/gcc/testsuite/gfortran.dg/finalize_38.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_error_10.f patching file src/gcc/testsuite/gfortran.dg/implied_do_io_8.f90 patching file src/gcc/testsuite/gfortran.dg/is_contiguous_4.f90 patching file src/gcc/testsuite/gfortran.dg/ishftc_optional_size_1.f90 patching file src/gcc/testsuite/gfortran.dg/missing_optional_dummy_6a.f90 patching file src/gcc/testsuite/gfortran.dg/namelist_57.f90 patching file src/gcc/testsuite/gfortran.dg/namelist_65.f90 patching file src/gcc/testsuite/gfortran.dg/null_actual_4.f90 patching file src/gcc/testsuite/gfortran.dg/null_actual_5.f90 patching file src/gcc/testsuite/gfortran.dg/optional_deferred_char_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr100988.f90 patching file src/gcc/testsuite/gfortran.dg/pr101329.f90 patching file src/gcc/testsuite/gfortran.dg/pr102109.f90 patching file src/gcc/testsuite/gfortran.dg/pr102112.f90 patching file src/gcc/testsuite/gfortran.dg/pr102190.f90 patching file src/gcc/testsuite/gfortran.dg/pr102532.f90 patching file src/gcc/testsuite/gfortran.dg/pr103715.f90 patching file src/gcc/testsuite/gfortran.dg/pr104908.f90 patching file src/gcc/testsuite/gfortran.dg/pr105473.f90 patching file src/gcc/testsuite/gfortran.dg/pr108961.f90 patching file src/gcc/testsuite/gfortran.dg/pr109358.f90 patching file src/gcc/testsuite/gfortran.dg/pr109948.f90 patching file src/gcc/testsuite/gfortran.dg/pr110221.f patching file src/gcc/testsuite/gfortran.dg/pr111022.f90 patching file src/gcc/testsuite/gfortran.dg/pr111880.f90 patching file src/gcc/testsuite/gfortran.dg/pr112316.f90 patching file src/gcc/testsuite/gfortran.dg/pr114012.f90 patching file src/gcc/testsuite/gfortran.dg/pr67740.f90 patching file src/gcc/testsuite/gfortran.dg/pr68155.f90 patching file src/gcc/testsuite/gfortran.dg/pr92586.f90 patching file src/gcc/testsuite/gfortran.dg/pr96436_4.f90 patching file src/gcc/testsuite/gfortran.dg/pr96436_5.f90 patching file src/gcc/testsuite/gfortran.dg/pr99326.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_53.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_comp_53.f90 patching file src/gcc/testsuite/gfortran.dg/ubsan/missing_optional_dummy_8.f90 patching file src/gcc/testsuite/gm2/cpp/fail/cpp-fail.exp patching file src/gcc/testsuite/gm2/cpp/fail/foocpp.mod patching file src/gcc/testsuite/gm2/errors/fail/badabs.mod patching file src/gcc/testsuite/gm2/errors/fail/badenum.mod patching file src/gcc/testsuite/gm2/extensions/asm/fail/extensions-asm-fail.exp patching file src/gcc/testsuite/gm2/extensions/asm/fail/stressreturn.mod patching file src/gcc/testsuite/gm2/extensions/asm/pass/extensions-asm-pass.exp patching file src/gcc/testsuite/gm2/extensions/asm/pass/fooasm.mod patching file src/gcc/testsuite/gm2/iso/check/fail/iso-check-fail.exp patching file src/gcc/testsuite/gm2/iso/pass/constvec.mod patching file src/gcc/testsuite/gm2/iso/pass/constvec2.mod patching file src/gcc/testsuite/gm2/iso/run/pass/constvec3.mod patching file src/gcc/testsuite/gm2/iso/run/pass/m2date.mod patching file src/gcc/testsuite/gm2/iso/run/pass/testclock.mod patching file src/gcc/testsuite/gm2/iso/run/pass/testclock2.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/seqappend.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/skiplinetest.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testdelete.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testreadint.mod patching file src/gcc/testsuite/gm2/pim/fail/badxproc.mod patching file src/gcc/testsuite/gm2/pim/fail/foovaltype.mod patching file src/gcc/testsuite/gm2/pim/fail/highice.mod patching file src/gcc/testsuite/gm2/pim/fail/largeconst.mod patching file src/gcc/testsuite/gm2/pim/fail/largeconst2.mod patching file src/gcc/testsuite/gm2/pim/pass/fooasm3.mod patching file src/gcc/testsuite/gm2/pim/pass/program2.mod patching file src/gcc/testsuite/gm2/pim/run/pass/ForChar.mod patching file src/gcc/testsuite/gm2/pim/run/pass/hightests.mod patching file src/gcc/testsuite/gm2/pimlib/run/pass/testfiobinary.mod patching file src/gcc/testsuite/gm2/switches/auto-init/fail/switches-auto-init-fail.exp patching file src/gcc/testsuite/gm2/switches/case/fail/missingclause.mod patching file src/gcc/testsuite/gm2/switches/case/fail/switches-case-fail.exp patching file src/gcc/testsuite/gm2/switches/case/pass/enumcase.mod patching file src/gcc/testsuite/gm2/switches/case/pass/enumcase2.mod patching file src/gcc/testsuite/gm2/switches/case/pass/switches-case-pass.exp patching file src/gcc/testsuite/gm2/switches/check-all/pim2/fail/switches-check-all-pim2-fail.exp patching file src/gcc/testsuite/gm2/switches/check-all/plugin/iso/fail/switches-check-all-plugin-iso-fail.exp patching file src/gcc/testsuite/gm2/switches/check-all/plugin/pim2/fail/switches-check-all-plugin-pim2-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/cascade/fail/cascadedif.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/cascade/fail/switches-uninit-variable-checking-cascade-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/switches-uninit-variable-checking-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testlarge.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testlarge2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testrecinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testrecinit2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testrecinit5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testsmallrec.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testsmallrec2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testsmallvec.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testvarinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithnoptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithptr2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithptr3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/assignparam.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/switches-uninit-variable-checking-pass.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testrecinit3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testrecinit5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testsmallrec.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testsmallrec2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testvarinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testwithptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testwithptr2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testwithptr3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/tiny.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/switches-uninit-variable-checking-procedures-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose4.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew4.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew6.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnil.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testptrptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/assignparam2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/switches-uninit-variable-checking-procedures-pass.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testdispose3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testdispose4.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testnew5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testnew6.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testparamlvalue.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testparamrvalue.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testproc.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testptrptr.mod patching file src/gcc/testsuite/gnat.dg/access10.adb patching file src/gcc/testsuite/gnat.dg/bip_prim_func2.adb patching file src/gcc/testsuite/gnat.dg/bip_prim_func2_pkg.adb patching file src/gcc/testsuite/gnat.dg/bip_prim_func2_pkg.ads patching file src/gcc/testsuite/gnat.dg/opt102.adb patching file src/gcc/testsuite/gnat.dg/opt102_pkg.adb patching file src/gcc/testsuite/gnat.dg/opt102_pkg.ads patching file src/gcc/testsuite/gnat.dg/opt104.adb patching file src/gcc/testsuite/gnat.dg/opt104.ads patching file src/gcc/testsuite/gnat.dg/predicate15.adb patching file src/gcc/testsuite/gnat.dg/specs/anon4.ads patching file src/gcc/testsuite/gnat.dg/varsize4.adb patching file src/gcc/testsuite/gnat.dg/varsize4.ads patching file src/gcc/testsuite/gnat.dg/varsize4_pkg.ads patching file src/gcc/testsuite/lib/gm2.exp patching file src/gcc/testsuite/lib/target-supports.exp patching file src/gcc/tree-call-cdce.cc patching file src/gcc/tree-data-ref.cc patching file src/gcc/tree-loop-distribution.cc patching file src/gcc/tree-object-size.cc patching file src/gcc/tree-scalar-evolution.cc patching file src/gcc/tree-sra.cc patching file src/gcc/tree-ssa-dse.cc patching file src/gcc/tree-ssa-forwprop.cc patching file src/gcc/tree-ssa-ifcombine.cc patching file src/gcc/tree-ssa-loop-im.cc patching file src/gcc/tree-ssa-loop-ivopts.cc patching file src/gcc/tree-ssa-loop-niter.cc patching file src/gcc/tree-ssa-loop-unswitch.cc patching file src/gcc/tree-ssa-phiopt.cc patching file src/gcc/tree-ssa-pre.cc patching file src/gcc/tree-ssa-propagate.cc patching file src/gcc/tree-ssa-reassoc.cc patching file src/gcc/tree-ssa-sccvn.cc patching file src/gcc/tree-ssa-strlen.cc patching file src/gcc/tree-ssa-threadupdate.cc patching file src/gcc/tree-ssa.cc patching file src/gcc/tree-vect-data-refs.cc patching file src/gcc/tree-vect-generic.cc patching file src/gcc/tree-vect-loop-manip.cc patching file src/gcc/tree-vect-loop.cc patching file src/gcc/tree-vect-slp.cc patching file src/gcc/ubsan.cc patching file src/gcc/value-range-storage.h patching file src/gcc/value-range.cc patching file src/gcc/value-range.h patching file src/gcc/value-relation.cc patching file src/gcc/value-relation.h patching file src/gcc/varasm.cc patching file src/libbacktrace/ChangeLog patching file src/libbacktrace/zstdtest.c patching file src/libcpp/ChangeLog patching file src/libcpp/include/cpplib.h patching file src/libcpp/lex.cc patching file src/libgcc/ChangeLog patching file src/libgcc/config/avr/libf7/ChangeLog patching file src/libgcc/config/avr/libf7/asm-defs.h patching file src/libgcc/config/avr/libf7/f7-renames.h patching file src/libgcc/config/avr/libf7/libf7-asm.sx patching file src/libgcc/config/avr/libf7/libf7-common.mk patching file src/libgcc/config/avr/libf7/libf7-const.def patching file src/libgcc/config/avr/libf7/libf7.c patching file src/libgcc/config/avr/libf7/libf7.h patching file src/libgcc/config/avr/libf7/t-libf7 patching file src/libgcc/config/i386/gthr-win32-cond.c patching file src/libgcc/config/riscv/atomic.c patching file src/libgcc/unwind-dw2-fde-dip.c patching file src/libgcc/unwind-dw2-fde.c patching file src/libgfortran/ChangeLog patching file src/libgfortran/io/format.c patching file src/libgfortran/io/format.h patching file src/libgfortran/io/list_read.c patching file src/libgfortran/io/read.c patching file src/libgfortran/io/transfer.c patching file src/libgfortran/io/write.c patching file src/libgfortran/io/write_float.def patching file src/libgfortran/libgfortran.spec.in patching file src/libgm2/ChangeLog patching file src/libgm2/Makefile.in patching file src/libgm2/aclocal.m4 patching file src/libgm2/config.h.in patching file src/libgm2/configure patching file src/libgm2/configure.ac patching file src/libgm2/libm2cor/KeyBoardLEDs.cc patching file src/libgm2/libm2cor/Makefile.am patching file src/libgm2/libm2cor/Makefile.in patching file src/libgm2/libm2iso/Makefile.am patching file src/libgm2/libm2iso/Makefile.in patching file src/libgm2/libm2iso/wrapclock.cc patching file src/libgm2/libm2iso/wraptime.cc patching file src/libgm2/libm2log/Makefile.am patching file src/libgm2/libm2log/Makefile.in patching file src/libgm2/libm2min/Makefile.in patching file src/libgm2/libm2pim/Makefile.am patching file src/libgm2/libm2pim/Makefile.in patching file src/libgomp/ChangeLog patching file src/libgomp/configure patching file src/libgomp/configure.ac patching file src/libgomp/env.c patching file src/libgomp/testsuite/libgomp.c-c++-common/non-rect-loop-1.c patching file src/libphobos/ChangeLog patching file src/libphobos/libdruntime/core/cpuid.d patching file src/libsanitizer/ChangeLog patching file src/libsanitizer/sanitizer_common/sanitizer_stacktrace_sparc.cpp patching file src/libsanitizer/sanitizer_common/sanitizer_unwind_linux_libcdep.cpp patching file src/libstdc++-v3/ChangeLog patching file src/libstdc++-v3/acinclude.m4 patching file src/libstdc++-v3/config.h.in patching file src/libstdc++-v3/configure patching file src/libstdc++-v3/doc/html/index.html patching file src/libstdc++-v3/doc/html/manual/api.html patching file src/libstdc++-v3/doc/html/manual/appendix.html patching file src/libstdc++-v3/doc/html/manual/appendix_contributing.html patching file src/libstdc++-v3/doc/html/manual/appendix_porting.html patching file src/libstdc++-v3/doc/html/manual/configure.html patching file src/libstdc++-v3/doc/html/manual/index.html patching file src/libstdc++-v3/doc/html/manual/source_code_style.html patching file src/libstdc++-v3/doc/html/manual/status.html patching file src/libstdc++-v3/doc/html/manual/test.html patching file src/libstdc++-v3/doc/html/manual/using.html patching file src/libstdc++-v3/doc/html/manual/using_dynamic_or_shared.html patching file src/libstdc++-v3/doc/xml/manual/appendix_contributing.xml patching file src/libstdc++-v3/doc/xml/manual/configure.xml patching file src/libstdc++-v3/doc/xml/manual/evolution.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2011.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2014.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2017.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2020.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2023.xml patching file src/libstdc++-v3/doc/xml/manual/test.xml patching file src/libstdc++-v3/doc/xml/manual/using.xml patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/libstdc++-v3/include/bits/algorithmfwd.h patching file src/libstdc++-v3/include/bits/alloc_traits.h patching file src/libstdc++-v3/include/bits/atomic_wait.h patching file src/libstdc++-v3/include/bits/basic_string.h patching file src/libstdc++-v3/include/bits/basic_string.tcc patching file src/libstdc++-v3/include/bits/char_traits.h patching file src/libstdc++-v3/include/bits/chrono.h patching file src/libstdc++-v3/include/bits/chrono_io.h patching file src/libstdc++-v3/include/bits/fs_dir.h patching file src/libstdc++-v3/include/bits/hashtable.h patching file src/libstdc++-v3/include/bits/hashtable_policy.h patching file src/libstdc++-v3/include/bits/list.tcc patching file src/libstdc++-v3/include/bits/new_allocator.h patching file src/libstdc++-v3/include/bits/random.tcc patching file src/libstdc++-v3/include/bits/ranges_util.h patching file src/libstdc++-v3/include/bits/regex_executor.tcc patching file src/libstdc++-v3/include/bits/shared_ptr_atomic.h patching file src/libstdc++-v3/include/bits/stl_algo.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/bits/stl_bvector.h patching file src/libstdc++-v3/include/bits/stl_iterator.h patching file src/libstdc++-v3/include/bits/stl_iterator_base_types.h patching file src/libstdc++-v3/include/bits/stl_tree.h patching file src/libstdc++-v3/include/bits/stl_uninitialized.h patching file src/libstdc++-v3/include/bits/streambuf_iterator.h patching file src/libstdc++-v3/include/bits/uses_allocator.h patching file src/libstdc++-v3/include/bits/utility.h patching file src/libstdc++-v3/include/bits/vector.tcc patching file src/libstdc++-v3/include/c_global/cmath patching file src/libstdc++-v3/include/debug/safe_iterator.tcc patching file src/libstdc++-v3/include/debug/safe_local_iterator.tcc patching file src/libstdc++-v3/include/experimental/bits/fs_path.h patching file src/libstdc++-v3/include/experimental/internet patching file src/libstdc++-v3/include/experimental/scope patching file src/libstdc++-v3/include/std/array patching file src/libstdc++-v3/include/std/charconv patching file src/libstdc++-v3/include/std/chrono patching file src/libstdc++-v3/include/std/complex patching file src/libstdc++-v3/include/std/expected patching file src/libstdc++-v3/include/std/format patching file src/libstdc++-v3/include/std/future patching file src/libstdc++-v3/include/std/iterator patching file src/libstdc++-v3/include/std/optional patching file src/libstdc++-v3/include/std/ranges patching file src/libstdc++-v3/include/std/ratio patching file src/libstdc++-v3/include/std/scoped_allocator patching file src/libstdc++-v3/include/std/shared_mutex patching file src/libstdc++-v3/include/std/span patching file src/libstdc++-v3/include/std/stacktrace patching file src/libstdc++-v3/include/std/string_view patching file src/libstdc++-v3/include/std/syncstream patching file src/libstdc++-v3/include/std/system_error patching file src/libstdc++-v3/include/std/tuple patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/std/utility patching file src/libstdc++-v3/include/std/valarray patching file src/libstdc++-v3/include/std/variant patching file src/libstdc++-v3/include/std/version patching file src/libstdc++-v3/include/tr2/dynamic_bitset patching file src/libstdc++-v3/include/tr2/type_traits patching file src/libstdc++-v3/libsupc++/compare patching file src/libstdc++-v3/libsupc++/new_opa.cc patching file src/libstdc++-v3/python/libstdcxx/v6/__init__.py patching file src/libstdc++-v3/python/libstdcxx/v6/printers.py patching file src/libstdc++-v3/python/libstdcxx/v6/xmethods.py patching file src/libstdc++-v3/scripts/testsuite_flags.in patching file src/libstdc++-v3/src/c++11/Makefile.am patching file src/libstdc++-v3/src/c++11/Makefile.in patching file src/libstdc++-v3/src/c++11/assert_fail.cc patching file src/libstdc++-v3/src/c++11/cow-locale_init.cc patching file src/libstdc++-v3/src/c++11/debug.cc patching file src/libstdc++-v3/src/c++11/system_error.cc patching file src/libstdc++-v3/src/c++17/fs_ops.cc patching file src/libstdc++-v3/src/c++17/fs_path.cc patching file src/libstdc++-v3/src/c++20/Makefile.am patching file src/libstdc++-v3/src/c++20/Makefile.in patching file src/libstdc++-v3/src/c++20/tzdata.zi patching file src/libstdc++-v3/src/c++20/tzdb.cc patching file src/libstdc++-v3/src/experimental/Makefile.am patching file src/libstdc++-v3/src/experimental/Makefile.in patching file src/libstdc++-v3/src/experimental/contract.cc patching file src/libstdc++-v3/src/filesystem/ops-common.h patching file src/libstdc++-v3/src/filesystem/ops.cc patching file src/libstdc++-v3/src/filesystem/path.cc patching file src/libstdc++-v3/src/libbacktrace/Makefile.am patching file src/libstdc++-v3/src/libbacktrace/Makefile.in patching file src/libstdc++-v3/testsuite/18_support/comparisons/categories/zero_neg.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/current.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/entry.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/hash.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/stacktrace.cc patching file src/libstdc++-v3/testsuite/20_util/duration/io.cc patching file src/libstdc++-v3/testsuite/20_util/duration/requirements/typedefs_neg1.cc patching file src/libstdc++-v3/testsuite/20_util/duration/requirements/typedefs_neg2.cc patching file src/libstdc++-v3/testsuite/20_util/integer_sequence/112473.cc patching file src/libstdc++-v3/testsuite/20_util/integer_sequence/pr111357.cc patching file src/libstdc++-v3/testsuite/20_util/ratio/requirements/type_constraints.cc patching file src/libstdc++-v3/testsuite/20_util/tuple/cons/114147.cc patching file src/libstdc++-v3/testsuite/20_util/uses_allocator/lwg3677.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/capacity/char/resize_and_overwrite.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/modifiers/constexpr.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/cons/char/range_c++20.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/cons/wchar_t/range_c++20.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/modifiers/remove_prefix/debug.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/modifiers/remove_suffix/debug.cc patching file src/libstdc++-v3/testsuite/21_strings/char_traits/requirements/113200.cc patching file src/libstdc++-v3/testsuite/23_containers/array/creation/110167.cc patching file src/libstdc++-v3/testsuite/23_containers/array/creation/111512.cc patching file src/libstdc++-v3/testsuite/23_containers/map/debug/112477.cc patching file src/libstdc++-v3/testsuite/23_containers/set/debug/114316.cc patching file src/libstdc++-v3/testsuite/23_containers/span/back_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/back_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/first_2_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/first_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/first_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/front_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/front_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/index_op_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/index_op_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/last_2_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/last_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/last_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/nodiscard.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_2_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_3_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_4_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_5_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_6_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/modifiers/merge.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_multimap/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_multiset/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/debug/114316.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/bool/110807.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/debug/114316.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/debug/assign4_backtrace_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/debug/n3644.cc patching file src/libstdc++-v3/testsuite/24_iterators/move_iterator/p2520r0.cc patching file src/libstdc++-v3/testsuite/25_algorithms/lexicographical_compare_three_way/113960.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/1.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/59603.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/deprecated.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/moveable.cc patching file src/libstdc++-v3/testsuite/26_numerics/random/pr60037-neg.cc patching file src/libstdc++-v3/testsuite/26_numerics/random/subtract_with_carry_engine/cons/lwg3809.cc patching file src/libstdc++-v3/testsuite/26_numerics/valarray/99117.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/equivalent.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/108636.cc patching file src/libstdc++-v3/testsuite/27_io/objects/char/2.cc patching file src/libstdc++-v3/testsuite/27_io/objects/wchar_t/2.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic/compare_exchange_padding.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic/cons/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/clear/1.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/cons/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/test_and_set/explicit.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/test_and_set/implicit.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_float/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_integral/cons/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/compare_exchange_padding.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/generic.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/integral.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/pointer.cc patching file src/libstdc++-v3/testsuite/29_atomics/headers/atomic/types_std_c++2a_neg.cc patching file src/libstdc++-v3/testsuite/30_threads/shared_lock/locking/112089.cc patching file src/libstdc++-v3/testsuite/experimental/filesystem/operations/equivalent.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/network/v6/cons.cc patching file src/libstdc++-v3/testsuite/experimental/scopeguard/114152.cc patching file src/libstdc++-v3/testsuite/lib/dg-options.exp patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/chrono.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/compat.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/cxx11.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/cxx17.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/libfundts.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/locale.cc patching file src/libstdc++-v3/testsuite/libstdc++-xmethods/deque.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/112607.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/args.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/args_neg.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/lwg3810.cc patching file src/libstdc++-v3/testsuite/std/format/formatter/112832.cc patching file src/libstdc++-v3/testsuite/std/format/formatter/basic.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format_c++23.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format_to.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format_to_n.cc patching file src/libstdc++-v3/testsuite/std/format/functions/vformat_to.cc patching file src/libstdc++-v3/testsuite/std/format/string.cc patching file src/libstdc++-v3/testsuite/std/ranges/iota/max_size_type.cc patching file src/libstdc++-v3/testsuite/std/ranges/subrange/111948.cc patching file src/libstdc++-v3/testsuite/std/time/clock/file/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/file/members.cc patching file src/libstdc++-v3/testsuite/std/time/clock/gps/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/system/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/tai/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/utc/io.cc patching file src/libstdc++-v3/testsuite/std/time/day/io.cc patching file src/libstdc++-v3/testsuite/std/time/format.cc patching file src/libstdc++-v3/testsuite/std/time/hh_mm_ss/io.cc patching file src/libstdc++-v3/testsuite/std/time/month/1.cc patching file src/libstdc++-v3/testsuite/std/time/month/2.cc patching file src/libstdc++-v3/testsuite/std/time/month/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_day/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_day_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_weekday/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_weekday_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/tzdb/leap_seconds.cc patching file src/libstdc++-v3/testsuite/std/time/weekday/1.cc patching file src/libstdc++-v3/testsuite/std/time/weekday/2.cc patching file src/libstdc++-v3/testsuite/std/time/weekday/io.cc patching file src/libstdc++-v3/testsuite/std/time/weekday_indexed/io.cc patching file src/libstdc++-v3/testsuite/std/time/weekday_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/year/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_day/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_day_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_weekday/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_weekday_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/zoned_time/io.cc patching file src/libstdc++-v3/testsuite/tr1/8_c_compatibility/cstdio/functions.cc patching file src/libstdc++-v3/testsuite/tr1/8_c_compatibility/cwchar/functions.cc patching file src/libstdc++-v3/testsuite/tr2/dynamic_bitset/string.cc patching file src/libstdc++-v3/testsuite/util/pstl/test_utils.h patching file src/libstdc++-v3/testsuite/util/testsuite_allocator.h Applying patch git-doc-updates.diff patching file src/gcc/doc/avr-mmcu.texi patching file src/gcc/doc/extend.texi patching file src/gcc/doc/generic.texi patching file src/gcc/doc/gm2.texi patching file src/gcc/doc/invoke.texi patching file src/gcc/doc/rtl.texi patching file src/gcc/fortran/gfortran.texi patching file src/gcc/m2/target-independent/m2/gm2-libs.texi Applying patch rename-info-files.diff patching file src/gcc/fortran/gfortran.texi patching file src/gcc/fortran/Make-lang.in patching file src/gcc/Makefile.in patching file src/gcc/ada/gnat-style.texi patching file src/gcc/ada/gnat_rm.texi patching file src/gcc/doc/invoke.texi patching file src/gcc/doc/extend.texi patching file src/gcc/doc/standards.texi patching file src/gcc/doc/libgcc.texi patching file src/gcc/doc/gccint.texi patching file src/gcc/doc/cpp.texi patching file src/gcc/doc/gcc.texi patching file src/gcc/doc/install.texi patching file src/gcc/doc/cppinternals.texi patching file src/libgomp/libgomp.texi patching file src/libgomp/Makefile.in patching file src/libgomp/Makefile.am patching file src/libitm/libitm.texi patching file src/libitm/Makefile.am patching file src/libitm/Makefile.in patching file src/gcc/go/Make-lang.in patching file src/gcc/go/gccgo.texi patching file src/libquadmath/libquadmath.texi patching file src/libquadmath/Makefile.am patching file src/libquadmath/Makefile.in Applying patch gcc-gfdl-build.diff patching file src/gcc/Makefile.in Applying patch gcc-textdomain.diff patching file src/gcc/intl.cc patching file src/gcc/Makefile.in Hunk #1 succeeded at 4460 (offset 59 lines). patching file src/libcpp/init.cc patching file src/libcpp/system.h patching file src/libcpp/Makefile.in Applying patch gcc-distro-specs.diff patching file src/gcc/gcc.cc patching file src/gcc/cp/lang-specs.h patching file src/gcc/objc/lang-specs.h patching file src/gcc/objcp/lang-specs.h Applying patch gcc-driver-extra-langs.diff patching file src/gcc/Makefile.in Applying patch gcc-hash-style-gnu.diff patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/riscv/linux.h Applying patch libstdc++-doclink.diff patching file src/libstdc++-v3/doc/doxygen/mainpage.html patching file src/libstdc++-v3/doc/html/api.html patching file src/libstdc++-v3/doc/xml/api.xml Applying patch libstdc++-man-3cxx.diff patching file src/libstdc++-v3/doc/doxygen/user.cfg.in patching file src/libstdc++-v3/scripts/run_doxygen Applying patch libstdc++-test-installed.diff patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp Applying patch libstdc++-doxygen-SOURCE_DATE_EPOCH.diff patching file src/libstdc++-v3/scripts/run_doxygen Applying patch alpha-no-ev4-directive.diff patching file src/gcc/config/alpha/alpha.cc Applying patch note-gnu-stack.diff patching file src/libgcc/config/ia64/crtbegin.S patching file src/libgcc/config/ia64/crtend.S patching file src/libgcc/config/ia64/crti.S patching file src/libgcc/config/ia64/crtn.S patching file src/libgcc/config/ia64/lib1funcs.S patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/ppc-asm.h Applying patch libgomp-omp_h-multilib.diff patching file src/libgomp/omp.h.in Applying patch libgo-testsuite.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch libgo-cleanfiles.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch gcc-target-include-asm.diff patching file src/configure.ac Applying patch libgo-revert-timeout-exp.diff patching file src/libgo/testsuite/lib/libgo.exp Applying patch libgo-setcontext-config.diff patching file src/libgo/configure.ac Applying patch gcc-auto-build.diff patching file src/gcc/configure.ac Applying patch libitm-no-fortify-source.diff patching file src/libitm/configure.tgt Applying patch sparc64-biarch-long-double-128.diff patching file src/gcc/config/sparc/linux64.h Applying patch pr66368.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch pr67590.diff patching file src/libcc1/configure.ac Applying patch libffi-race-condition.diff patching file src/libffi/src/closures.c Applying patch cuda-float128.diff patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/bits/std_abs.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/std/numbers Applying patch t-libunwind-elf-Wl-z-defs.diff patching file src/libgcc/config/t-libunwind-elf Applying patch gcc-force-cross-layout.diff patching file src/configure.ac patching file src/gcc/configure.ac Applying patch gcc-search-prefixed-as-ld.diff patching file src/gcc/gcc.cc Applying patch kfreebsd-decimal-float.diff patching file src/gcc/configure.ac patching file src/libdecnumber/configure.ac patching file src/libgcc/configure.ac Applying patch pr87808.diff patching file src/gcc/jit/Make-lang.in patching file src/gcc/jit/jit-playback.cc Applying patch pr94253.diff patching file src/gcc/config/rs6000/rs6000.cc Applying patch gcc-arm-disable-guality-tests.diff patching file src/gcc/testsuite/gcc.dg/guality/guality.exp Applying patch musl-ssp.diff patching file src/gcc/gcc.cc Applying patch pr79724-revert.diff patching file src/gcc/ada/osint.adb Applying patch pr104290-followup.diff patching file src/gcc/config/gnu.h Applying patch arc-stddef.diff patching file src/gcc/config/arc/arc-arch.h Applying patch pr107475.diff patching file src/gcc/ada/Makefile.rtl Applying patch gccrs-bootstrap-mipsel.diff patching file src/configure.ac Applying patch pr110066.diff patching file src/libgcc/config.host patching file src/libgcc/config/riscv/t-crtstuff Applying patch pr88552.diff patching file src/gcc/fortran/decl.cc patching file src/gcc/testsuite/gfortran.dg/pr88552.f90 Applying patch hppa64-libgcov-fallback.diff patching file src/libgcc/libgcov.h Applying patch toplevel-add-gprofng.diff patching file src/Makefile.def patching file src/Makefile.in patching file src/configure.ac patching file src/include/collectorAPI.h patching file src/include/libcollector.h patching file src/include/libfcollector.h Applying patch toplevel-add-libsframe.diff patching file src/Makefile.def patching file src/Makefile.in Applying patch libiberty-sha1-1.diff patching file src/include/sha1.h patching file src/libiberty/config.in patching file src/libiberty/configure patching file src/libiberty/configure.ac patching file src/libiberty/sha1.c Applying patch libiberty-sha1-2.diff patching file src/config/hwcaps.m4 patching file src/libiberty/Makefile.in patching file src/libiberty/aclocal.m4 patching file src/libiberty/configure patching file src/libiberty/configure.ac Applying patch pr113030.diff patching file src/gcc/config/arm/parsecpu.awk Applying patch libsanitizer-timebits.diff patching file src/libsanitizer/sanitizer_common/sanitizer_procmaps_solaris.cpp patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp Applying patch asan-allocator-base.diff patching file src/libsanitizer/asan/asan_allocator.h Applying patch gcc-vhdl.diff patching file src/gcc/config/rs6000/rs6000-logue.cc Applying patch libsanitizer-no-crypt.diff patching file src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc patching file src/libsanitizer/sanitizer_common/sanitizer_platform_interceptors.h patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h Applying patch pr99832-distro.diff patching file src/libstdc++-v3/config/os/gnu-linux/os_defines.h patching file src/libstdc++-v3/include/bits/c++config patching file src/libstdc++-v3/include/bits/chrono.h Applying patch pr114065-proposed.diff patching file src/gcc/ada/Makefile.rtl Applying patch ada-gcc-name.diff patching file src/gcc/ada/osint.ads patching file src/gcc/ada/osint.adb patching file src/gcc/ada/gnatchop.adb Applying patch ada-verbose.diff patching file src/gcc/ada/Make-generated.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-link-lib.diff patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/ada/link.c patching file src/libada/Makefile.in patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/testsuite/lib/gnat.exp Applying patch ada-gnattools-cross.diff patching file src/gcc/ada/Makefile.rtl patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gnattools/Makefile.in Applying patch ada-lib-info-source-date-epoch.diff patching file src/gcc/ada/osint.adb patching file src/gcc/ada/osint.ads Applying patch ada-perl-shebang.diff patching file src/gcc/ada/gnathtml.pl Applying patch disable-gdc-tests.diff patching file src/gcc/d/Make-lang.in Hunk #1 succeeded at 296 (offset 90 lines). Applying patch alpha-ieee.diff patching file src/gcc/config/alpha/alpha.cc Applying patch alpha-ieee-doc.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 24247 (offset 78 lines). Applying patch sys-auxv-header.diff patching file src/gcc/configure.ac patching file src/gcc/config.in patching file src/gcc/config/rs6000/driver-rs6000.cc Applying patch gdc-dynamic-link-phobos.diff patching file src/gcc/d/d-spec.cc Applying patch ia64-disable-selective-scheduling.diff patching file src/gcc/config/ia64/ia64.cc Applying patch libstdc++-pythondir.diff patching file src/libstdc++-v3/python/Makefile.am patching file src/libstdc++-v3/python/Makefile.in Applying patch gcc-verbose-lto-link.diff Patch gcc-verbose-lto-link.diff appears to be empty; applied Applying patch ada-armel-libatomic.diff patching file src/gcc/ada/Makefile.rtl patching file src/Makefile.def patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-kfreebsd.diff patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/s-oscons-tmplt.c Applying patch hurd-amd64.diff patching file src/gcc/config.gcc patching file src/gcc/config/i386/t-gnu64 patching file src/gcc/config/i386/gnu.h patching file src/gcc/config/i386/gnu64.h Applying patch hurd-multiarch.diff patching file src/gcc/config/i386/t-gnu64 Applying patch hurd-multilib-multiarch.diff patching file src/gcc/config/i386/t-gnu64 Applying patch gcc-ice-dump.diff patching file src/gcc/gcc.cc Applying patch gcc-ice-apport.diff patching file src/gcc/gcc.cc Applying patch skip-bootstrap-multilib.diff patching file src/config-ml.in Applying patch libffi-ro-eh_frame_sect.diff patching file src/libffi/configure.ac Applying patch gcc-multiarch.diff patching file src/gcc/config/sh/t-linux patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/i386/t-kfreebsd patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config.gcc patching file src/gcc/config/riscv/t-linux patching file src/gcc/Makefile.in patching file src/gcc/config/aarch64/t-aarch64-linux patching file src/gcc/config/arc/t-multilib-linux Applying patch config-ml.diff patching file src/config-ml.in Applying patch g++-multiarch-incdir.diff patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/gcc/Makefile.in patching file src/gcc/cppdefault.cc patching file src/gcc/incpath.cc Applying patch canonical-cpppath.diff patching file src/gcc/incpath.cc Applying patch gcc-multilib-multiarch.diff patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/rs6000/t-linux patching file src/gcc/config/loongarch/t-linux Applying patch gcc-as-needed.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/mips/gnu-user.h patching file src/gcc/config/riscv/linux.h patching file src/gcc/config/m68k/linux.h patching file src/gcc/config/sh/linux.h patching file src/gcc/config/pa/pa-linux.h patching file src/gcc/config/loongarch/gnu-user.h Applying patch gcc-as-needed-gold.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h Applying patch libgomp-kfreebsd-testsuite.diff patching file src/libgomp/testsuite/libgomp.c/lock-2.c Applying patch go-testsuite.diff patching file src/gcc/testsuite/go.test/go-test.exp Applying patch gcc-distro-specs-ubuntu-doc.diff patching file src/gcc/doc/invoke.texi Applying patch testsuite-hardening-format.diff patching file src/gcc/testsuite/gcc.c-torture/execute/vfprintf-chk-1.x patching file src/gcc/testsuite/gcc.c-torture/execute/vprintf-chk-1.x patching file src/gcc/testsuite/gcc.dg/charset/builtin2.c patching file src/gcc/testsuite/gcc.dg/format/format.exp patching file src/gcc/testsuite/gcc.dg/pr30473.c patching file src/gcc/testsuite/gcc.dg/pr38902.c patching file src/gcc/testsuite/gcc.dg/pr59418.c patching file src/gcc/testsuite/gcc.dg/ipa/ipa-sra-1.c patching file src/gcc/testsuite/gcc.dg/lto/20090218-2_0.c patching file src/gcc/testsuite/c-c++-common/torture/vector-compare-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vprintf-chk-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-printf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-printf-chk-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-fprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-fprintf-chk-1.c patching file src/gcc/testsuite/gcc.dg/torture/tls/tls-test.c patching file src/gcc/testsuite/objc.dg/torture/strings/const-str-3.m patching file src/gcc/testsuite/g++.dg/abi/pragma-pack1.C patching file src/gcc/testsuite/g++.dg/abi/regparm1.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-tuple.C patching file src/gcc/testsuite/g++.dg/torture/pr51436.C patching file src/gcc/testsuite/g++.old-deja/g++.law/weak.C patching file src/gcc/testsuite/g++.old-deja/g++.other/std1.C patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vfprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vfprintf-chk-1.c Applying patch testsuite-hardening-printf-types.diff patching file src/gcc/testsuite/g++.dg/ext/align1.C patching file src/gcc/testsuite/g++.old-deja/g++.law/operators28.C patching file src/gcc/testsuite/gcc.dg/torture/matrix-2.c patching file src/gcc/testsuite/gcc.dg/packed-vla.c patching file src/gcc/testsuite/g++.dg/opt/alias2.C patching file src/gcc/testsuite/g++.old-deja/g++.abi/vbase1.C patching file src/gcc/testsuite/g++.old-deja/g++.brendan/template8.C patching file src/gcc/testsuite/g++.old-deja/g++.eh/ptr1.C patching file src/gcc/testsuite/g++.old-deja/g++.jason/access23.C patching file src/gcc/testsuite/g++.old-deja/g++.law/cvt8.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/net35.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/offset1.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p12306.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3579.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708a.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708b.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p646.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p710.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p789a.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/pmf2.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/temp.C patching file src/gcc/testsuite/g++.old-deja/g++.other/temporary1.C patching file src/gcc/testsuite/g++.old-deja/g++.other/virtual8.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp23.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp24.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp25.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp26.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/t39.C patching file src/gcc/testsuite/g++.old-deja/g++.robertl/eb17.C patching file src/gcc/testsuite/gcc.dg/pch/inline-4.c Applying patch testsuite-hardening-updates.diff patching file src/gcc/testsuite/g++.dg/asan/asan_test.C patching file src/gcc/testsuite/g++.dg/asan/interception-malloc-test-1.C patching file src/gcc/testsuite/gcc.c-torture/execute/memset-1.c patching file src/gcc/testsuite/c-c++-common/asan/strncpy-overflow-1.c patching file src/gcc/testsuite/gcc.dg/fstack-protector-strong.c patching file src/gcc/testsuite/g++.dg/fstack-protector-strong.C patching file src/gcc/testsuite/gcc.c-torture/execute/memset-1.x Applying patch testsuite-glibc-warnings.diff patching file src/gcc/testsuite/c-c++-common/tsan/fd_pipe_race.c Now at patch testsuite-glibc-warnings.diff : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /<>/builddir/gcc/src/fixincludes && ./genfixes sync echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgm2/ src/libgo/ src/libgomp/ src/libiberty/ src/libstdc++-v3/ | xargs -d ' ' -L 1 -P 4 -I{} \ sh -c 'echo "Running autoconf2.69 in {}..." ; \ cd /<>/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69' xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value Running autoconf2.69 in src/... Running autoconf2.69 in src/gcc/... Running autoconf2.69 in src/libcc1/... Running autoconf2.69 in src/libdecnumber/... Running autoconf2.69 in src/libffi/... Running autoconf2.69 in src/libgcc/... Running autoconf2.69 in src/libgm2/... Running autoconf2.69 in src/libgo/... Running autoconf2.69 in src/libgomp/... Running autoconf2.69 in src/libiberty/... Running autoconf2.69 in src/libstdc++-v3/... for i in git-updates git-doc-updates rename-info-files gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed libstdc++-doxygen-SOURCE_DATE_EPOCH alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libffi-race-condition cuda-float128 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 pr94253 gcc-arm-disable-guality-tests musl-ssp pr79724-revert pr104290-followup arc-stddef pr107475 gccrs-bootstrap-mipsel pr110066 pr88552 hppa64-libgcov-fallback toplevel-add-gprofng toplevel-add-libsframe libiberty-sha1-1 libiberty-sha1-2 pr113030 libsanitizer-timebits asan-allocator-base gcc-vhdl libsanitizer-no-crypt pr99832-distro pr114065-proposed ada-gcc-name ada-verbose ada-link-lib ada-gnattools-cross ada-lib-info-source-date-epoch ada-perl-shebang disable-gdc-tests alpha-ieee alpha-ieee-doc sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling libstdc++-pythondir gcc-verbose-lto-link ada-armel-libatomic ada-kfreebsd hurd-amd64 hurd-multiarch hurd-multilib-multiarch gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite gcc-distro-specs-ubuntu-doc testsuite-hardening-format testsuite-hardening-printf-types testsuite-hardening-updates testsuite-glibc-warnings; do \ echo -e "\n$i:" >> pxxx; \ sed -n 's/^# *DP: */ /p' debian/patches/$i.diff >> pxxx; \ done : # generate the distro-defaults.h header rm -f /<>/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '/* distro specific configuration injected by the distro build. */'; \ echo ''; \ echo '#ifndef ACCEL_COMPILER' \ ) >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_ASYNC_UNWIND 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_SSP 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_SSP_STRONG 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_FORMAT_SECURITY 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '#define DIST_DEFAULT_FORTIFY_SOURCE 3'; \ echo '#define DIST_DEFAULT_FORTIFY_SOURCE_S "3"' \ ) >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_RELRO 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_BIND_NOW 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_STACK_CLASH 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#endif' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h mv pxxx stamps/02-patch-stamp make[2]: Leaving directory '/<>/builddir/gcc' gcc-13 (Ubuntu 13.2.0-21ubuntu1) 13.2.0 Copyright (C) 2023 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Build machine is: s390x-linux-gnu create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in make[2]: Entering directory '/<>/builddir/gcc' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/gcc/vhdl/$d; \ done make[2]: Leaving directory '/<>/builddir/gcc' Generate ghdlsynth_maybe.ads Generate grt-readline.ads make[2]: Entering directory '/<>/builddir/gcc' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf src/gcc/vhdl mkdir src/gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl cp -p grt-readline.ads src/gcc/vhdl cp -p ../../src/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl cp -p ../../src/synth/*.ad? src/gcc/vhdl cp -p ../../src/psl/*.ad? src/gcc/vhdl cp -p ../../src/verilog/*.ad? src/gcc/vhdl cp -p ../../src/grt/grt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-types.ads src/gcc/vhdl cp -p ../../src/grt/grt-vhdl_types.ads src/gcc/vhdl cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl cp -p ../../src/grt/grt-arith.ad? src/gcc/vhdl cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-rstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl cp -p ../../src/grt/grt-astdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files.ad? src/gcc/vhdl cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl cp -p ../../src/grt/grt-readline_*.ad? src/gcc/vhdl cp -p ../../src/grt/grt-dynload.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cdynload.c src/gcc/vhdl cp -p ../../src/ortho/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.* | 10.* | 11.* | 12.* | 13.* | 14.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from src"; \ echo "Need gcc version 4.9.x, 5.x to 14.x"; \ exit 1 ;; \ esac; \ cp -p ../../src/ortho/gcc/$gcc_ortho_lang \ src/gcc/vhdl/ortho-lang.c cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl mkdir src/gcc/vhdl/ghdldrv cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv cp -p scripts/gcc/Make-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 12.* | 13.* | 14.*) for f in src/gcc/vhdl/*.c; do mv $f ${f}c; done; \ sed -e 's/ortho-lang.c/ortho-lang.cc/' \ < ../../scripts/gcc/config-lang.in \ > src/gcc/vhdl/config-lang.in ;; \ esac make[2]: Leaving directory '/<>/builddir/gcc' make[2]: Entering directory '/<>/builddir/gcc' dh_testdir : # give information about the build process ------------------------ Build process variables ------------------------ Memory on this machine: MemTotal: 16471356 kB MemFree: 11560292 kB MemAvailable: 15988304 kB SwapCached: 0 kB SwapTotal: 4194300 kB SwapFree: 4194300 kB Number of parallel processes used for the build: 4 DEB_BUILD_OPTIONS: parallel=4 Package source: gcc-13 GCC version: Base Debian version: 13 Configured with: -v --with-pkgversion='Ubuntu 13.2.0-21ubuntu1' --with-bugurl='file:///usr/share/doc/gcc-13/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-13 --program-prefix=s390x-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/libexec --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-libstdcxx-backtrace --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-multiarch --disable-werror --with-arch=z13 --with-tune=z16 --disable-s390-excess-float-precision --with-long-double-128 --enable-multilib --enable-checking=release --build=s390x-linux-gnu --host=s390x-linux-gnu --target=s390x-linux-gnu Using shell /bin/bash -e Architecture: s390x (GNU: s390x-linux-gnu) CPPFLAGS: CFLAGS: LDFLAGS: BOOT_CFLAGS: DEBIAN_BUILDARCH: Install prefix: /usr/lib/ghdl/gcc Will build the biarch compilers (64/32, defaulting to 64bit) Will not build the C++ compiler: Will not build the ObjC compiler: Will not build the Obj-C++ compiler: Will not build the Fortran 95 compiler: Will not build the Ada compiler: Will not build the Go compiler: Will not build the D compiler: Will not build the Modula-2 compiler: Will build without offload compilers: / Will build without SSP support: Will not run the testsuite: skipped for GHDL build Will enable national language support. ----------------------------------------------------------------------------- rm -f stamps/04-configure-stamp stamps/05-build-stamp cat debian/README.Debian stamps/02-patch-stamp > debian/README.Debian.s390x rm -rf /<>/builddir/gcc/build mkdir /<>/builddir/gcc/build : # some tools like gettext are built with a newer libstdc++ mkdir -p bin for i in msgfmt; do \ install -m755 debian/bin-wrapper.in bin/$i; \ done : # configure cd /<>/builddir/gcc/build \ && PATH=/<>/builddir/gcc/bin:/usr/lib/s390x-linux-gnu/gcc/bin:$PATH \ CC="s390x-linux-gnu-gcc-13" CXX="s390x-linux-gnu-g++-13" CFLAGS_FOR_BUILD="-g -O2" CXXFLAGS_FOR_BUILD="-g -O2" LDFLAGS_FOR_TARGET="-Wl,-z,relro" \ \ \ ../src/configure -v --with-pkgversion='Ubuntu 13.2.0-21ubuntu1' --with-bugurl='file:///usr/share/doc/gcc-13/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-13 --program-prefix=s390x-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/libexec --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-libstdcxx-backtrace --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-multiarch --disable-werror --with-arch=z13 --with-tune=z16 --disable-s390-excess-float-precision --with-long-double-128 --enable-multilib --enable-checking=release --build=s390x-linux-gnu --host=s390x-linux-gnu --target=s390x-linux-gnu checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether ln works... yes checking whether ln -s works... yes checking for a sed that does not truncate output... /usr/bin/sed checking for gawk... gawk checking for libvtv support... no checking for libphobos support... yes checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... none needed checking for s390x-linux-gnu-gcc-13 option to accept ISO C99... none needed checking whether we are using the GNU C++ compiler... yes checking whether s390x-linux-gnu-g++-13 accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for s390x-linux-gnu-gnatbind... s390x-linux-gnu-gnatbind checking for s390x-linux-gnu-gnatmake... gnatmake -v -j4 -R -eS checking whether compiler driver understands Ada and is recent enough... yes checking for s390x-linux-gnu-gdc... no checking for gdc... no checking whether the D compiler works... no checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2 checking whether s390x-linux-gnu-g++-13 supports C++11 features by default... yes checking for objdir... .libs checking for the correct version of gmp.h... yes checking for the correct version of mpfr.h... yes checking for the correct version of mpc.h... yes checking for the correct version of the gmp/mpfr libraries... yes checking for the correct version of the mpc libraries... yes checking for isl 0.15 or later... yes The following languages will be built: c,lto,vhdl *** This configuration is not supported in the following subdirectories: zlib target-libquadmath target-libvtv gnattools gotools target-libada target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgomp target-libatomic target-libitm target-libsanitizer target-libssp (Any other directories should still work fine.) checking for default BUILD_CONFIG... checking for --enable-vtable-verify... no checking for bison... no checking for byacc... no checking for yacc... no checking for bison... no checking for gm4... no checking for gnum4... no checking for m4... m4 checking for flex... no checking for lex... no checking for flex... no checking for makeinfo... makeinfo checking for expect... no checking for runtest... no checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-as... s390x-linux-gnu-as checking for s390x-linux-gnu-dlltool... no checking for dlltool... no checking for s390x-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for s390x-linux-gnu-ld... s390x-linux-gnu-ld checking for s390x-linux-gnu-lipo... no checking for lipo... no checking for s390x-linux-gnu-nm... s390x-linux-gnu-nm checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking for s390x-linux-gnu-windres... no checking for windres... no checking for s390x-linux-gnu-windmc... no checking for windmc... no checking for s390x-linux-gnu-objcopy... s390x-linux-gnu-objcopy checking for s390x-linux-gnu-objdump... s390x-linux-gnu-objdump checking for s390x-linux-gnu-otool... no checking for otool... no checking for s390x-linux-gnu-readelf... s390x-linux-gnu-readelf checking for s390x-linux-gnu-cc... no checking for cc... cc checking for s390x-linux-gnu-c++... no checking for c++... c++ checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc checking for s390x-linux-gnu-gfortran... no checking for gfortran... no checking for s390x-linux-gnu-gccgo... no checking for gccgo... no checking for s390x-linux-gnu-gdc... no checking for gdc... no checking for s390x-linux-gnu-gm2... no checking for gm2... no checking for ar... no checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for as... no checking for s390x-linux-gnu-as... s390x-linux-gnu-as checking for dlltool... no checking for s390x-linux-gnu-dlltool... no checking for dlltool... no checking for dsymutil... no checking for s390x-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for ld... no checking for s390x-linux-gnu-ld... s390x-linux-gnu-ld checking for lipo... no checking for s390x-linux-gnu-lipo... no checking for lipo... no checking for nm... no checking for s390x-linux-gnu-nm... s390x-linux-gnu-nm checking for objcopy... no checking for s390x-linux-gnu-objcopy... s390x-linux-gnu-objcopy checking for objdump... no checking for s390x-linux-gnu-objdump... s390x-linux-gnu-objdump checking for otool... no checking for s390x-linux-gnu-otool... no checking for otool... no checking for ranlib... no checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for readelf... no checking for s390x-linux-gnu-readelf... s390x-linux-gnu-readelf checking for strip... no checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking for windres... no checking for s390x-linux-gnu-windres... no checking for windres... no checking for windmc... no checking for s390x-linux-gnu-windmc... no checking for windmc... no checking where to find the target ar... host tool checking where to find the target as... host tool checking where to find the target cc... just compiled checking where to find the target c++... host tool checking where to find the target c++ for libstdc++... host tool checking where to find the target dlltool... host tool checking where to find the target dsymutil... host tool checking where to find the target gcc... just compiled checking where to find the target gfortran... host tool checking where to find the target gccgo... host tool checking where to find the target gdc... host tool checking where to find the target gm2... host tool checking where to find the target ld... host tool checking where to find the target lipo... host tool checking where to find the target nm... host tool checking where to find the target objcopy... host tool checking where to find the target objdump... host tool checking where to find the target otool... host tool checking where to find the target ranlib... host tool checking where to find the target readelf... host tool checking where to find the target strip... host tool checking where to find the target windres... host tool checking where to find the target windmc... host tool checking whether to enable maintainer-specific portions of Makefiles... no configure: creating ./config.status config.status: creating Makefile : # multilib builds without b-d on gcc-multilib (used in FLAGS_FOR_TARGET) if [ -d /usr/include/s390x-linux-gnu/asm ]; then \ mkdir -p /<>/builddir/gcc/build/sys-include; \ ln -sf /usr/include/s390x-linux-gnu/asm /<>/builddir/gcc/build/sys-include/asm; \ fi touch stamps/04-configure-stamp make[2]: Leaving directory '/<>/builddir/gcc' touch /<>/builddir/stamps/configure-gcc dh override_dh_auto_configure make[1]: Leaving directory '/<>' debian/rules override_dh_auto_build make[1]: Entering directory '/<>' dh_testdir ------------------------------------------------------------ Building with llvm backend ------------------------------------------------------------ /usr/bin/make -C /<>/builddir/llvm make[2]: Entering directory '/<>/builddir/llvm' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cstdio.o /<>/builddir/llvm/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cdynload.o /<>/builddir/llvm/../../src/grt/grt-cdynload.c make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-13" \ CXXFLAGS=" -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2" \ GNATMAKE="gnatmake -v -j4 -R -eS " all make[3]: Entering directory '/<>/builddir/llvm' g++-13 -c `llvm-config --cxxflags` -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp ../../src/ortho/llvm6/llvm-cbindings.cpp: In function ‘OGnode new_global_selected_element(OGnode, OFnodeBase*)’: ../../src/ortho/llvm6/llvm-cbindings.cpp:2654:16: warning: ‘Res’ may be used uninitialized [-Wmaybe-uninitialized] 2654 | LLVMValueRef Res; | ^~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function ‘OLnode new_selected_element(OLnode*, OFnodeBase*)’: ../../src/ortho/llvm6/llvm-cbindings.cpp:2774:16: warning: ‘Res’ may be used uninitialized [-Wmaybe-uninitialized] 2774 | LLVMValueRef Res; | ^~~ gnatmake -v -j4 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 \ `llvm-config --ldflags --libs --system-libs` -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... -> "ortho_code_main.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_code_main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/ortho_front.adb "ortho_llvm.ali" being checked ... -> "ortho_llvm.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_llvm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_ident.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "bug.ali" being checked ... -> "bug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/dyn_tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout.ali" being checked ... -> "errorout.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/errorout-console.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flags.ali" being checked ... -> "flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/flags.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "hash.ali" being checked ... -> "hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "interning.ali" being checked ... -> "interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... -> "libraries.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/libraries.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_table.ali" being checked ... -> "name_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/name_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "options.ali" being checked ... -> "options.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "translation.ali" being checked ... -> "translation.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/translation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types.ali" being checked ... -> "types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl.ali" being checked ... -> "vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-back_end.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-configuration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-std_package.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "simple_io.ali" being checked ... -> "simple_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/simple_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. s390x-linux-gnu-gcc-13 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "files_map.ali" being checked ... -> "files_map.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/files_map.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... -> "filesystem.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/filesystem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "str_table.ali" being checked ... -> "str_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/str_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "logging.ali" being checked ... -> "logging.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/logging.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "tables.ali" being checked ... -> "tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... -> "elab.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_objtypes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "file_comments.ali" being checked ... -> "file_comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/file_comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl.ali" being checked ... -> "psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-dump_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "std_names.ali" being checked ... -> "std_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/std_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-lists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-scanner.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_specs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-canon.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-evaluation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_walk.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_nodes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans.ali" being checked ... -> "trans.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap1.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap12.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap3.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap4.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap7.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-coverage.ali" being checked ... -> "trans-coverage.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-coverage.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-helpers2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-rtis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans_decls.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_inst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-elocations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_assocs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-xrefs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_gc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-post_sems.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-types.ali" being checked ... -> "psl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt.ali" being checked ... -> "grt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "utils_io.ali" being checked ... -> "utils_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/utils_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "mutils.ali" being checked ... -> "mutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/mutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types_utils.ali" being checked ... -> "types_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/types_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "areapools.ali" being checked ... -> "areapools.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/areapools.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-memtype.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-types.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "lists.ali" being checked ... -> "lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/lists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-flists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-build.ali" being checked ... -> "psl-build.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-build.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nfas-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-rewrites.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-canon_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-parse_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap5.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap6.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap9.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth.ali" being checked ... -> "synth.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap8.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-foreach_non_composite.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap14.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-elocations_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-subsets.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sensitivity_checks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-numeric.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-math_real.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_misc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-vital_timing.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-std_env.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flists.ali" being checked ... -> "flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/flists.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-cse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-disp_nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-optimize.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-qm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-priorities.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans_analyzes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists.ali" being checked ... -> "netlists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-numeric_std.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-source.ali" being checked ... -> "synth-source.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-source.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_heap.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-folds.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-locations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-builders.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-context.ali" being checked ... -> "synth-context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-context.ads "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_annotations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-gates.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_aggr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_oper.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-files_operations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_prot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_foreign.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_static_proc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-environment-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_values-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-concats.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-dump.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-gates_ports.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-inference.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "debuggers.ali" being checked ... -> "debuggers.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/debuggers.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_context-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-dynload.ads "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-iterators.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. s390x-linux-gnu-gcc-13 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata grt-readline.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-readline_none.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-internings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-memories.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synthesis.ali" being checked ... -> "synthesis.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synthesis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-expands.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-butils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_exprs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_sources.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog.ali" being checked ... -> "verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-allocates.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-bignums.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-elaborate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-nutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_instances.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-storages.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-executions.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-standard.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-abi.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-simulation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_arrays.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_classes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_queues.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-resolve_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_upwards.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_maps.ali" being checked ... -> "name_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/name_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-scans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-bn_tables.ads "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-macros.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation s390x-linux-gnu-gnatbind-13 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali s390x-linux-gnu-gnatlink-13 ortho_code_main.ali -o ghdl1-llvm -g -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 -L/usr/lib/llvm-18/lib -lLLVM-18 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -R make[3]: Leaving directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... -> "ghdl_llvm.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdl_llvm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "default_paths.ali" being checked ... -> "default_paths.ali" missing. s390x-linux-gnu-gcc-13 -c -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata default_paths.ads "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdldrv.adb "ghdllib.ali" being checked ... -> "ghdllib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdllib.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdllocal.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlmain.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlprint.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. s390x-linux-gnu-gcc-13 -c -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata ghdlsynth_maybe.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlverilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlvpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlxml.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... "types.ali" being checked ... "version.ali" being checked ... "bug.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "simple_io.ali" being checked ... "options.ali" being checked ... "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlsynth.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "files_map.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlcomp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... "name_table.ali" being checked ... "std_names.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-find_top.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-vhdl_export.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-types.ali" being checked ... "flags.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "str_table.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "dyn_tables.ali" being checked ... "tables.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-formatters.adb "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "elab-vhdl_context.ali" being checked ... "logging.ali" being checked ... "elab-debugger.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-disp_dot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-rename.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "mutils.ali" being checked ... "utils_io.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "grt-severity.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-dynload.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "grt-c.ali" being checked ... "verilog-debugger.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "grt-table.ali" being checked ... "grt-readline.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation s390x-linux-gnu-gnatbind-13 -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/ghdldrv -static -x ghdl_llvm.ali s390x-linux-gnu-gnatlink-13 ghdl_llvm.ali -g -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o -R gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o jumps.o /<>/builddir/llvm/../../src/grt/config/jumps.c /<>/builddir/llvm/../../src/grt/config/jumps.c:136:1: warning: ‘grt_overflow_handler’ defined but not used [-Wunused-function] 136 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o times.o /<>/builddir/llvm/../../src/grt/config/times.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cgnatrts.o /<>/builddir/llvm/../../src/grt/grt-cgnatrts.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-no_sundials_c.o /<>/builddir/llvm/../../src/grt/grt-no_sundials_c.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cvpi.o /<>/builddir/llvm/../../src/grt/grt-cvpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cvhpi.o /<>/builddir/llvm/../../src/grt/grt-cvhpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o fstapi.o /<>/builddir/llvm/../../src/grt/fst/fstapi.c -I/<>/builddir/llvm/../../src/grt/fst gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o lz4.o /<>/builddir/llvm/../../src/grt/fst/lz4.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o fastlz.o /<>/builddir/llvm/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/ghdl_main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada ghdl_main.adb:45:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:45:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:45:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors.adb "grt-heap.ali" being checked ... -> "grt-heap.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-heap.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-modules.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-no_analog_solver.ali" being checked ... -> "grt-no_analog_solver.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-no_analog_solver.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-options.ali" being checked ... -> "grt-options.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-options.adb "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_binding.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-types.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-change_generics.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_lib.ali" being checked ... -> "grt-files_lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files_lib.adb "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-hooks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-images.ali" being checked ... -> "grt-images.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-images.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-names.ali" being checked ... -> "grt-names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-processes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-shadow_ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-values.ali" being checked ... -> "grt-values.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_rti.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcd.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcdz.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vital_annotate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-waves.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio-vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-callbacks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_addr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors_exec.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-file.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-analog_solver.ali" being checked ... -> "grt-analog_solver.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-analog_solver.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_signals.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-threads.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stack2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/builddir/llvm/grt-backtraces-impl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst_api.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-design.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-zlib.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-sdf.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/builddir/llvm/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-ghw.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-unithread.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-jit.ali" being checked ... -> "grt-backtraces-jit.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces-jit.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. s390x-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. s390x-linux-gnu-gcc-13 -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. s390x-linux-gnu-gcc-13 -c -I/<>/builddir/llvm/../../src/grt/ -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /<>/builddir/llvm/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst echo "# link options for executables" > lib/ghdl/llvm/vhdl/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/vhdl/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/llvm/vhdl/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/vhdl/grt-shared.lst; done cp /<>/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/vhdl/grt.ver make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm/vhdl" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/<>/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/<>/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/<>/builddir/llvm' sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf cd lib/ghdl/llvm/vhdl/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/vhdl/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/vhdl/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf cd lib/ghdl/llvm/vhdl/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf cd lib/ghdl/llvm/vhdl/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf cd lib/ghdl/llvm/vhdl/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/llvm/vhdl/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/vhdl/src/std/env-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf cd lib/ghdl/llvm/vhdl/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/vhdl/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/vhdl/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf cd lib/ghdl/llvm/vhdl/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/vhdl/src/ieee2008/LICENSE cd lib/ghdl/llvm/vhdl/std/v87; /<>/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 cd lib/ghdl/llvm/vhdl/std/v93; /<>/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 cd lib/ghdl/llvm/vhdl/std/v08; /<>/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 make[3]: Leaving directory '/<>/builddir/llvm' gcc-13 -c -g -o vpi_thunk.o /<>/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 gcc-13 -c -g -o vhpi_thunk.o /<>/builddir/llvm/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 mkdir -p lib/ghdl/llvm gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/ghdl/llvm/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o pic/grt-cstdio.o /<>/builddir/llvm/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o pic/grt-cdynload.o /<>/builddir/llvm/../../src/grt/grt-cdynload.c gnatmake -v -j4 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-4_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "lib/libghdl-4_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/libghdl.o /<>/src/vhdl/libghdl/libghdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout.ali" being checked ... -> "errorout.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/errorout.o /<>/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/errorout-memory.o /<>/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/files_map.o /<>/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/files_map-editor.o /<>/src/files_map-editor.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlcomp.o /<>/src/ghdldrv/ghdlcomp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdllocal.o /<>/src/ghdldrv/ghdllocal.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "options.ali" being checked ... -> "options.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/options.o /<>/src/options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl.ali" being checked ... -> "vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl.o /<>/src/vhdl/vhdl.ads "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-formatters.o /<>/src/vhdl/vhdl-formatters.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlsynth_maybe.o /<>/builddir/llvm/ghdlsynth_maybe.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types.ali" being checked ... -> "types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/types.o /<>/src/types.ads "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes.o /<>/src/vhdl/vhdl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/dyn_tables.o /<>/src/dyn_tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "tables.ali" being checked ... -> "tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/tables.o /<>/src/tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "logging.ali" being checked ... -> "logging.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/logging.o /<>/src/logging.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_table.ali" being checked ... -> "name_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/name_table.o /<>/src/name_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "str_table.ali" being checked ... -> "str_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/str_table.o /<>/src/str_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... -> "elab.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab.o /<>/src/synth/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_objtypes.o /<>/src/synth/elab-vhdl_objtypes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "file_comments.ali" being checked ... -> "file_comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/file_comments.o /<>/src/file_comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flags.ali" being checked ... -> "flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/flags.o /<>/src/flags.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... -> "libraries.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/libraries.o /<>/src/libraries.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl.ali" being checked ... -> "psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl.o /<>/src/psl/psl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-dump_tree.o /<>/src/psl/psl-dump_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nodes.o /<>/src/psl/psl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "simple_io.ali" being checked ... -> "simple_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/simple_io.o /<>/src/simple_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "std_names.ali" being checked ... -> "std_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/std_names.o /<>/src/std_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-back_end.o /<>/src/vhdl/vhdl-back_end.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-disp_tree.o /<>/src/vhdl/vhdl-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-errors.o /<>/src/vhdl/vhdl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-lists.o /<>/src/vhdl/vhdl-lists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-parse.o /<>/src/vhdl/vhdl-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-scanner.o /<>/src/vhdl/vhdl-scanner.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlmain.o /<>/src/ghdldrv/ghdlmain.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-configuration.o /<>/src/vhdl/vhdl-configuration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem.o /<>/src/vhdl/vhdl-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_lib.o /<>/src/vhdl/vhdl-sem_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-std_package.o /<>/src/vhdl/vhdl-std_package.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-utils.o /<>/src/vhdl/vhdl-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... -> "filesystem.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/filesystem.o /<>/src/filesystem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-types.o /<>/src/vhdl/vhdl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlsynth.o /<>/src/ghdldrv/ghdlsynth.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt.ali" being checked ... -> "grt.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt.o /<>/src/grt/grt.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-vstrings.o /<>/src/grt/grt-vstrings.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/utils_io.o /<>/src/utils_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-prints.o /<>/src/vhdl/vhdl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-tokens.o /<>/src/vhdl/vhdl-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-algos.o /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "default_paths.ali" being checked ... -> "default_paths.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/default_paths.o /<>/builddir/llvm/default_paths.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/version.o /<>/builddir/llvm/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-errors.o /<>/src/psl/psl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nodes_meta.o /<>/src/psl/psl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-types.ali" being checked ... -> "psl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-types.o /<>/src/psl/psl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "mutils.ali" being checked ... -> "mutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/mutils.o /<>/src/mutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types_utils.ali" being checked ... -> "types_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/types_utils.o /<>/src/synth/types_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "areapools.ali" being checked ... -> "areapools.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/areapools.o /<>/src/areapools.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-memtype.o /<>/src/synth/elab-memtype.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-types.o /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-hash.o /<>/src/psl/psl-hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_specs.o /<>/src/vhdl/vhdl-sem_specs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_meta.o /<>/src/vhdl/vhdl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "lists.ali" being checked ... -> "lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/lists.o /<>/src/lists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-fcvt.o /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "bug.ali" being checked ... -> "bug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/bug.o /<>/src/bug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/errorout-console.o /<>/src/errorout-console.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_priv.o /<>/src/vhdl/vhdl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-flists.o /<>/src/vhdl/vhdl-flists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-canon.o /<>/src/vhdl/vhdl-canon.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-evaluation.o /<>/src/vhdl/vhdl-evaluation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_walk.o /<>/src/vhdl/vhdl-nodes_walk.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_scopes.o /<>/src/vhdl/vhdl-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_gc.o /<>/src/vhdl/vhdl-nodes_gc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-post_sems.o /<>/src/vhdl/vhdl-post_sems.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-comments.o /<>/src/vhdl/vhdl-comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-elocations.o /<>/src/vhdl/vhdl-elocations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_utils.o /<>/src/vhdl/vhdl-nodes_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-parse_psl.o /<>/src/vhdl/vhdl-parse_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-xrefs.o /<>/src/vhdl/vhdl-xrefs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee.o /<>/src/vhdl/vhdl-ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /<>/src/vhdl/vhdl-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_assocs.o /<>/src/vhdl/vhdl-sem_assocs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_decls.o /<>/src/vhdl/vhdl-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_expr.o /<>/src/vhdl/vhdl-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_inst.o /<>/src/vhdl/vhdl-sem_inst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_names.o /<>/src/vhdl/vhdl-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_psl.o /<>/src/vhdl/vhdl-sem_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_stmts.o /<>/src/vhdl/vhdl-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_types.o /<>/src/vhdl/vhdl-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_utils.o /<>/src/vhdl/vhdl-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-c.o /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-debugger.o /<>/src/synth/elab-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_annotations.o /<>/src/synth/elab-vhdl_annotations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_context.o /<>/src/synth/elab-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_errors.o /<>/src/synth/elab-vhdl_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_insts.o /<>/src/synth/elab-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlverilog.o /<>/src/ghdldrv/ghdlverilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists.ali" being checked ... -> "netlists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists.o /<>/src/synth/netlists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-disp_dot.o /<>/src/synth/netlists-disp_dot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-disp_verilog.o /<>/src/synth/netlists-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-disp_vhdl.o /<>/src/synth/netlists-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-dump.o /<>/src/synth/netlists-dump.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-errors.o /<>/src/synth/netlists-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-inference.o /<>/src/synth/netlists-inference.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-rename.o /<>/src/synth/netlists-rename.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth.ali" being checked ... -> "synth.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth.o /<>/src/synth/synth.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-disp_vhdl.o /<>/src/synth/synth-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-flags.o /<>/src/synth/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_context.o /<>/src/synth/synth-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_foreign.o /<>/src/synth/synth-vhdl_foreign.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synthesis.ali" being checked ... -> "synthesis.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synthesis.o /<>/src/synth/synthesis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nodes_priv.o /<>/src/psl/psl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flists.ali" being checked ... -> "flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/flists.o /<>/src/flists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-build.ali" being checked ... -> "psl-build.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-build.o /<>/src/psl/psl-build.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nfas.o /<>/src/psl/psl-nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nfas-utils.o /<>/src/psl/psl-nfas-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-rewrites.o /<>/src/psl/psl-rewrites.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-canon_psl.o /<>/src/vhdl/vhdl-canon_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-prints.o /<>/src/psl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-priorities.o /<>/src/psl/psl-priorities.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-math_real.o /<>/src/vhdl/vhdl-ieee-math_real.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-numeric.o /<>/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-numeric_std_unsigned.o /<>/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_arith.o /<>/src/vhdl/vhdl-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_misc.o /<>/src/vhdl/vhdl-ieee-std_logic_misc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_unsigned.o /<>/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-vital_timing.o /<>/src/vhdl/vhdl-ieee-vital_timing.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-std_env.o /<>/src/vhdl/vhdl-std_env.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-elocations_meta.o /<>/src/vhdl/vhdl-elocations_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_types.o /<>/src/synth/elab-vhdl_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-to_strings.o /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-vhdl_types.o /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_eval.o /<>/src/synth/synth-vhdl_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-subsets.o /<>/src/psl/psl-subsets.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sensitivity_checks.o /<>/src/vhdl/vhdl-sensitivity_checks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "debuggers.ali" being checked ... -> "debuggers.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/debuggers.o /<>/src/debuggers.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_context-debug.o /<>/src/synth/elab-vhdl_context-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_debug.o /<>/src/synth/elab-vhdl_debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_values.o /<>/src/synth/elab-vhdl_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_utils.o /<>/src/synth/elab-vhdl_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-iterators.o /<>/src/synth/netlists-iterators.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-utils.o /<>/src/synth/netlists-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_insts.o /<>/src/synth/synth-verilog_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_insts.o /<>/src/synth/synth-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog.ali" being checked ... -> "verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog.o /<>/src/verilog/verilog.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-elaborate.o /<>/src/verilog/verilog-elaborate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-errors.o /<>/src/verilog/verilog-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-find_top.o /<>/src/verilog/verilog-find_top.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-flags.o /<>/src/verilog/verilog-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-nodes.o /<>/src/verilog/verilog-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-nutils.o /<>/src/verilog/verilog-nutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-parse.o /<>/src/verilog/verilog-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-scans.o /<>/src/verilog/verilog-scans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem.o /<>/src/verilog/verilog-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_instances.o /<>/src/verilog/verilog-sem_instances.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_scopes.o /<>/src/verilog/verilog-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_types.o /<>/src/verilog/verilog-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-vhdl_export.o /<>/src/verilog/verilog-vhdl_export.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-vpi.o /<>/src/verilog/verilog-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_decls.o /<>/src/synth/elab-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_expr.o /<>/src/synth/elab-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_files.o /<>/src/synth/elab-vhdl_files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_stmts.o /<>/src/synth/elab-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_expr.o /<>/src/synth/synth-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_stmts.o /<>/src/synth/synth-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-gates.o /<>/src/synth/netlists-gates.ads "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-locations.o /<>/src/synth/netlists-locations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-folds.o /<>/src/synth/netlists-folds.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-gates_ports.o /<>/src/synth/netlists-gates_ports.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-internings.o /<>/src/synth/netlists-internings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-memories.o /<>/src/synth/netlists-memories.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-errors.o /<>/src/synth/synth-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-builders.o /<>/src/synth/netlists-builders.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-severity.o /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-context.ali" being checked ... -> "synth-context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-context.o /<>/src/synth/synth-context.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_environment.o /<>/src/synth/synth-vhdl_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/dyn_interning.o /<>/src/dyn_interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/dyn_maps.o /<>/src/dyn_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-dynload.o /<>/src/grt/grt-dynload.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "hash.ali" being checked ... -> "hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/hash.o /<>/src/hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "interning.ali" being checked ... -> "interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/interning.o /<>/src/interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_values-debug.o /<>/src/synth/elab-vhdl_values-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-cleanup.o /<>/src/synth/netlists-cleanup.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-expands.o /<>/src/synth/netlists-expands.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-cse.o /<>/src/psl/psl-cse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-disp_nfas.o /<>/src/psl/psl-disp_nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-optimize.o /<>/src/psl/psl-optimize.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-qm.o /<>/src/psl/psl-qm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-strings.o /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-readline.o /<>/builddir/llvm/grt-readline.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-files.o /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_heap.o /<>/src/synth/elab-vhdl_heap.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_context.o /<>/src/synth/synth-verilog_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_elaboration.o /<>/src/synth/synth-verilog_elaboration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_environment.o /<>/src/synth/synth-verilog_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_exprs.o /<>/src/synth/synth-verilog_exprs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_sources.o /<>/src/synth/synth-verilog_sources.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_stmts.o /<>/src/synth/synth-verilog_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_values.o /<>/src/synth/synth-verilog_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-allocates.o /<>/src/verilog/verilog-allocates.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-bignums.o /<>/src/verilog/verilog-bignums.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-disp_verilog.o /<>/src/verilog/verilog-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_names.o /<>/src/verilog/verilog-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-storages.o /<>/src/verilog/verilog-storages.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-tokens.o /<>/src/verilog/verilog-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-arith.o /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee.o /<>/src/synth/synth-ieee.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-numeric_std.o /<>/src/synth/synth-ieee-numeric_std.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-std_logic_1164.o /<>/src/synth/synth-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-std_logic_arith.o /<>/src/synth/synth-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-source.ali" being checked ... -> "synth-source.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-source.o /<>/src/synth/synth-source.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-concats.o /<>/src/synth/netlists-concats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_decls.o /<>/src/synth/synth-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_oper.o /<>/src/synth/synth-vhdl_oper.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-resolve_names.o /<>/src/verilog/verilog-resolve_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_decls.o /<>/src/verilog/verilog-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_eval.o /<>/src/verilog/verilog-sem_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_expr.o /<>/src/verilog/verilog-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_stmts.o /<>/src/verilog/verilog-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_upwards.o /<>/src/verilog/verilog-sem_upwards.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_utils.o /<>/src/verilog/verilog-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-standard.o /<>/src/verilog/verilog-standard.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-types.o /<>/src/verilog/verilog-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-nodes_meta.o /<>/src/verilog/verilog-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-astdio.o /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-stdio.o /<>/src/grt/grt-stdio.ads "name_maps.ali" being checked ... -> "name_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/name_maps.o /<>/src/name_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-bn_tables.o /<>/src/verilog/verilog-bn_tables.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-macros.o /<>/src/verilog/verilog-macros.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-executions.o /<>/src/verilog/verilog-executions.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-simulation.o /<>/src/verilog/verilog-simulation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_strings.o /<>/src/verilog/verilog-sv_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-rstrings.o /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-files_operations.o /<>/src/grt/grt-files_operations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_aggr.o /<>/src/synth/synth-vhdl_aggr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-butils.o /<>/src/synth/netlists-butils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_prot.o /<>/src/synth/elab-vhdl_prot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_static_proc.o /<>/src/synth/synth-vhdl_static_proc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-readline_none.o /<>/src/grt/grt-readline_none.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-table.o /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-environment.o /<>/src/synth/synth-environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-environment-debug.o /<>/src/synth/synth-environment-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_errors.o /<>/src/synth/synth-verilog_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-abi.o /<>/src/verilog/verilog-abi.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_arrays.o /<>/src/verilog/verilog-sv_arrays.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_classes.o /<>/src/verilog/verilog-sv_classes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_maps.o /<>/src/verilog/verilog-sv_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_queues.o /<>/src/verilog/verilog-sv_queues.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-disp_tree.o /<>/src/verilog/verilog-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-utils.o /<>/src/synth/synth-ieee-utils.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-debugger.o /<>/src/verilog/verilog-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation s390x-linux-gnu-gnatbind-13 -aI. -aO/<>/builddir/llvm/pic -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<>/builddir/llvm/pic/libghdl.ali s390x-linux-gnu-gnatlink-13 /<>/builddir/llvm/pic/libghdl.ali -shared-libgcc -o lib/libghdl-4_0_0.so -g -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o pic/grt-cdynload.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-13 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 gcc-13 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-13 -fPIC -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/<>/builddir/llvm' touch /<>/builddir/stamps/build-llvm dh_testdir ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ /usr/bin/make -j4 -C /<>/builddir/gcc/build make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' mkdir -p -- ./fixincludes mkdir -p -- ./libiberty mkdir -p -- ./intl mkdir -p -- ./lto-plugin Configuring in ./fixincludes Configuring in ./libiberty Configuring in ./intl Configuring in ./lto-plugin configure: creating cache ./config.cache configure: creating cache ./config.cache checking build system type... checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 s390x-ibm-linux-gnu checking host system type... configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking whether the C compiler works... configure: creating cache ./config.cache checking build system type... s390x-ibm-linux-gnu checking host system type... checking whether the C compiler works... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu yes checking for C compiler default output file name... a.out checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... checking for suffix of executables... checking for perl... perl yes checking build system type... yes checking for C compiler default output file name... a.out checking for suffix of executables... s390x-ibm-linux-gnu checking host system type... checking for a thread-safe mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... s390x-ibm-linux-gnu checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 yes checking whether /usr/bin/make supports nested variables... yes checking whether we are cross compiling... checking whether to enable maintainer-specific portions of Makefiles... no checking for style of include used by /usr/bin/make... checking whether we are cross compiling... GNU checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 no checking whether the C compiler works... checking for suffix of object files... no checking for suffix of object files... checking whether the C compiler works... o checking whether we are using the GNU C compiler... o checking whether we are using the GNU C compiler... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... checking whether we are cross compiling... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... checking whether we are cross compiling... none needed checking how to run the C preprocessor... none needed checking how to run the C preprocessor... no checking for suffix of object files... no checking for suffix of object files... s390x-linux-gnu-gcc-13 -E s390x-linux-gnu-gcc-13 -E o checking whether we are using the GNU C compiler... o checking whether we are using the GNU C compiler... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... checking for grep that handles long lines and -e... /usr/bin/grep -E checking for ANSI C header files... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... none needed checking whether s390x-linux-gnu-gcc-13 understands -c and -o together... none needed checking how to run the C preprocessor... yes checking dependency style of s390x-linux-gnu-gcc-13... s390x-linux-gnu-gcc-13 -E yes checking for sys/types.h... gcc3 checking how to run the C preprocessor... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... yes /usr/bin/grep -E checking for ANSI C header files... checking for sys/types.h... yes checking for sys/stat.h... s390x-linux-gnu-gcc-13 -E yes yes checking for sys/stat.h... checking for stdlib.h... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes yes checking for stdlib.h... checking for string.h... yes yes checking for sys/types.h... checking for string.h... yes checking for memory.h... yes yes checking for sys/stat.h... checking for memory.h... yes yes checking for sys/types.h... checking for strings.h... yes checking for stdlib.h... yes yes checking for strings.h... yes checking for sys/stat.h... checking for inttypes.h... yes yes yes checking for string.h... yes checking for stdlib.h... checking for stdint.h... checking for inttypes.h... yes yes checking for string.h... yes yes checking for unistd.h... checking for stdint.h... checking for memory.h... yes yes checking for memory.h... checking minix/config.h usability... yes checking for unistd.h... yes checking for strings.h... yes checking for strings.h... yes checking minix/config.h usability... no checking minix/config.h presence... yes checking for inttypes.h... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes no checking minix/config.h presence... checking for inttypes.h... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for stdint.h... yes checking whether /usr/bin/make sets $(MAKE)... yes yes checking for a BSD-compatible install... /usr/bin/install -c checking whether NLS is requested... yes checking for msgfmt... checking for stdint.h... /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt yes checking for a sed that does not truncate output... checking for xgettext... /usr/bin/sed yes checking whether s390x-linux-gnu-gcc-13 supports -W... /usr/bin/xgettext checking for unistd.h... checking for msgmerge... /usr/bin/msgmerge yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes checking for unistd.h... checking build system type... yes yes s390x-ibm-linux-gnu checking host system type... checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... checking minix/config.h usability... s390x-ibm-linux-gnu checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for library containing strerror... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking minix/config.h usability... no checking minix/config.h presence... yes none required checking for an ANSI C-conforming const... checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no checking minix/config.h presence... yes checking for inline... yes checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... inline checking for off_t... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes no yes checking for s390x-linux-gnu-gcc... (cached) s390x-linux-gnu-gcc-13 checking whether s390x-linux-gnu-gcc-13 supports -W... checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-format-attribute... yes yes checking whether we are using the GNU C compiler... (cached) yes checking whether s390x-linux-gnu-gcc-13 accepts -g... (cached) yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... (cached) none needed checking whether s390x-linux-gnu-gcc-13 understands -c and -o together... (cached) yes checking dependency style of s390x-linux-gnu-gcc-13... (cached) gcc3 checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... checking whether s390x-linux-gnu-gcc-13 supports -Wall... checking whether s390x-linux-gnu-gcc-13 supports -Woverlength-strings... yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic -Wlong-long... no checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes yes checking for size_t... checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking for ANSI C header files... (cached) yes checking stddef.h usability... yes checking for -static-libgcc... yes checking whether s390x-linux-gnu-gcc-13 supports -Wc++-compat... yes checking for CET support... no checking whether symbol versioning is supported... yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes checking stddef.h presence... gnu checking pthread.h usability... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wshadow=local... checking for strings.h... (cached) yes yes checking for working alloca.h... checking for unistd.h... (cached) yes yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic ... yes checking pthread.h presence... checking fcntl.h usability... yes checking for pthread.h... yes checking how to print strings... yes checking for alloca... yes checking whether s390x-linux-gnu-gcc-13 and cc understand -c and -o together... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by s390x-linux-gnu-gcc-13... s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... s390x-linux-gnu-nm checking the name lister (s390x-linux-gnu-nm) interface... yes checking fcntl.h presence... yes checking for stdlib.h... (cached) yes yes checking for fcntl.h... yes checking for unistd.h... (cached) yes BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... checking sys/file.h usability... checking for sys/param.h... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for s390x-linux-gnu-ld option to reload object files... -r checking for s390x-linux-gnu-objdump... s390x-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking command to parse s390x-linux-gnu-nm output from s390x-linux-gnu-gcc-13 object... yes checking sys/file.h presence... yes yes checking for an ANSI C-conforming const... checking for getpagesize... yes checking for sys/file.h... yes yes checking for inline... checking for sys/stat.h... (cached) yes checking for clearerr_unlocked... inline checking whether byte ordering is bigendian... yes checking for working mmap... ok checking for dlfcn.h... yes checking for feof_unlocked... yes checking for objdir... .libs yes checking for ferror_unlocked... yes checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... no checking target system type... s390x-ibm-linux-gnu checking for sys/file.h... yes yes checking whether we are using the GNU C Library 2.1 or newer... checking for sys/param.h... checking if s390x-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... yes yes checking whether integer division by zero raises SIGFPE... checking for fflush_unlocked... yes no checking for s390x-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if s390x-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... checking for limits.h... yes yes checking if s390x-linux-gnu-gcc-13 static flag -static works... yes checking for stdlib.h... (cached) yes checking for fgetc_unlocked... checking for malloc.h... yes yes checking for inttypes.h... checking for string.h... (cached) yes checking for unistd.h... (cached) yes yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... yes checking for strings.h... (cached) yes yes checking for fgets_unlocked... checking for stdint.h... checking for sys/time.h... yes yes checking for unsigned long long... yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the s390x-linux-gnu-gcc-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... checking for time.h... yes yes yes checking whether -lc should be explicitly linked in... checking for fileno_unlocked... checking for sys/resource.h... yes checking for inttypes.h... yes no checking dynamic linker characteristics... checking for sys/stat.h... (cached) yes yes checking for sys/mman.h... checking for fprintf_unlocked... yes checking whether the inttypes.h PRIxNN macros are broken... yes checking for fcntl.h... no checking for ld used by GCC... yes s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... checking for alloca.h... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for int64_t... yes no checking for fputc_unlocked... checking for sys/pstat.h... done checking argz.h usability... no checking for sys/sysmp.h... no yes checking for sys/sysinfo.h... checking for fputs_unlocked... yes checking argz.h presence... yes yes checking for argz.h... yes checking for machine/hal_sysinfo.h... checking limits.h usability... yes checking for uint64_t... no yes checking for sys/table.h... checking for fread_unlocked... no checking for sys/sysctl.h... yes checking limits.h presence... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for limits.h... yes no checking for sys/systemcfg.h... checking locale.h usability... yes checking for fwrite_unlocked... no checking for stdint.h... (cached) yes yes checking for stdio_ext.h... yes checking locale.h presence... yes configure: updating cache ./config.cache checking that generated files are newer than configure... done checking for process.h... configure: creating ./config.status yes checking for locale.h... yes yes checking nl_types.h usability... checking for getchar_unlocked... no checking for sys/prctl.h... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking nl_types.h presence... yes yes checking for nl_types.h... yes checking for getc_unlocked... checking malloc.h usability... yes checking whether time.h and sys/time.h may both be included... yes yes checking whether errno must be declared... checking for putchar_unlocked... yes checking malloc.h presence... no checking size of int... yes checking for malloc.h... yes checking stddef.h usability... yes checking for putc_unlocked... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes yes checking for string.h... (cached) yes 4 checking size of long... checking whether abort is declared... checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for feof_unlocked... yes yes checking whether asprintf is declared... checking for fgets_unlocked... 8 checking size of size_t... yes yes checking for getc_unlocked... checking whether basename is declared... 8 checking for long long... yes yes checking for getcwd... checking whether errno is declared... yes no checking for getegid... checking whether vasprintf is declared... yes checking size of long long... yes checking for geteuid... yes checking whether memmem is declared... 8 checking for a 64-bit type... yes checking for getgid... uint64_t checking for intptr_t... yes checking whether clearerr_unlocked is declared... yes checking for getuid... yes yes checking whether feof_unlocked is declared... checking for mempcpy... yes checking for uintptr_t... yes yes config.status: creating Makefile checking whether ferror_unlocked is declared... checking for munmap... config.status: creating config.h config.status: executing depfiles commands yes yes checking whether fflush_unlocked is declared... checking for putenv... config.status: executing libtool commands yes checking for ssize_t... yes yes checking whether fgetc_unlocked is declared... checking for setenv... yes mkdir -p -- build-s390x-linux-gnu/libiberty Configuring in build-s390x-linux-gnu/libiberty checking whether fgets_unlocked is declared... yes checking for setlocale... yes checking for pid_t... yes checking whether fileno_unlocked is declared... yes checking for stpcpy... yes checking whether fprintf_unlocked is declared... yes yes checking for library containing strerror... checking for strcasecmp... no checking whether fputc_unlocked is declared... none required checking for asprintf... yes checking for strdup... yes yes checking whether fputs_unlocked is declared... checking for atexit... yes yes checking for strtoul... checking for basename... yes checking whether fread_unlocked is declared... yes yes checking for bcmp... checking for tsearch... yes yes checking whether fwrite_unlocked is declared... yes checking for __argz_count... checking for bcopy... yes configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 checking for __argz_stringify... yes checking whether getchar_unlocked is declared... yes checking for bsearch... yes checking for perl... perl checking for __argz_next... yes checking build system type... checking whether getc_unlocked is declared... s390x-ibm-linux-gnu checking host system type... yes s390x-ibm-linux-gnu checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking for bzero... yes checking for __fsetlocking... yes checking whether putchar_unlocked is declared... yes yes checking for iconv... checking for calloc... yes checking whether putc_unlocked is declared... checking whether the C compiler works... yes yes checking for iconv declaration... checking for clock... yes checking for an ANSI C-conforming const... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for nl_langinfo and CODESET... checking for ffs... yes checking sys/mman.h usability... checking whether we are cross compiling... yes checking for LC_MESSAGES... yes yes checking sys/mman.h presence... yes checking for sys/mman.h... yes checking for mmap... checking for getcwd... no checking for suffix of object files... yes checking for bison... no checking whether NLS is requested... yes checking whether included gettext is requested... no checking for GNU gettext in libc... o checking whether we are using the GNU C compiler... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no yes checking whether to use NLS... yes checking where the gettext function comes from... libc checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking for getpagesize... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... configure: updating cache ./config.cache configure: updating cache ./config.cache configure: creating ./config.status configure: creating ./config.status none needed checking how to run the C preprocessor... yes checking for gettimeofday... s390x-linux-gnu-gcc-13 -E yes checking for index... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... config.status: creating Makefile config.status: creating Makefile config.status: creating config.intl yes config.status: creating mkheaders.almost checking for insque... config.status: creating config.h config.status: executing default-1 commands config.status: creating config.h yes checking for memchr... mkdir -p -- build-s390x-linux-gnu/fixincludes Configuring in build-s390x-linux-gnu/fixincludes mkdir -p -- build-s390x-linux-gnu/libcpp Configuring in build-s390x-linux-gnu/libcpp yes yes checking for sys/types.h... checking for memcmp... yes checking for sys/stat.h... yes checking for memcpy... yes checking for stdlib.h... yes yes checking for memmem... checking for string.h... yes yes checking for memory.h... checking for memmove... yes checking for strings.h... yes checking for mempcpy... yes checking for inttypes.h... yes checking for memset... yes checking for stdint.h... configure: creating cache ./config.cache checking build system type... yes s390x-ibm-linux-gnu checking host system type... checking for mkstemps... s390x-ibm-linux-gnu checking target system type... yes configure: creating cache ./config.cache s390x-ibm-linux-gnu checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking for unistd.h... checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes checking whether the C compiler works... yes checking for a BSD-compatible install... /usr/bin/install -c checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking for putenv... yes checking minix/config.h usability... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether the C compiler works... yes no checking minix/config.h presence... checking for random... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for C compiler default output file name... a.out checking whether we are cross compiling... checking for suffix of executables... yes checking whether we are cross compiling... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking for rename... no checking for suffix of object files... checking whether s390x-linux-gnu-gcc-13 supports -W... no o checking whether we are using the GNU C compiler... checking for suffix of object files... yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for rindex... o checking whether we are using the GNU C compiler... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... yes yes checking whether s390x-linux-gnu-gcc-13 accepts -g... checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... yes checking for setenv... yes none needed checking how to run the C preprocessor... checking whether s390x-linux-gnu-gcc-13 supports -Wc++-compat... none needed s390x-linux-gnu-gcc-13 -E yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes checking whether we are using the GNU C++ compiler... checking for snprintf... yes checking whether s390x-linux-gnu-gcc-13 supports -Wshadow=local... yes checking whether s390x-linux-gnu-g++-13 accepts -g... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking how to run the C preprocessor... yes yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic ... checking for sigsetmask... yes checking whether s390x-linux-gnu-gcc-13 and cc understand -c and -o together... s390x-linux-gnu-gcc-13 -E yes checking for stpcpy... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes yes checking for stpncpy... checking for sys/types.h... yes checking for an ANSI C-conforming const... yes checking for sys/stat.h... yes yes checking for inline... checking for strcasecmp... yes inline checking whether byte ordering is bigendian... checking for stdlib.h... yes checking for sys/types.h... yes yes yes checking for strchr... checking for string.h... checking for sys/stat.h... yes checking for stdlib.h... yes yes checking for memory.h... checking for strdup... yes checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... no checking target system type... s390x-ibm-linux-gnu yes checking for sys/file.h... checking for string.h... yes yes checking for strings.h... yes checking for sys/param.h... checking for strncasecmp... yes checking for memory.h... yes yes checking for inttypes.h... checking for limits.h... yes yes yes checking for strndup... checking for strings.h... checking for stdlib.h... (cached) yes yes checking for malloc.h... checking for stdint.h... yes checking for string.h... (cached) yes yes yes checking for inttypes.h... checking for unistd.h... (cached) yes checking for strnlen... checking for strings.h... (cached) yes yes checking for sys/time.h... checking for unistd.h... yes yes checking for stdint.h... yes checking for time.h... checking for strrchr... yes yes checking minix/config.h usability... checking for sys/resource.h... yes yes checking for unistd.h... checking for sys/stat.h... (cached) yes yes checking for sys/mman.h... checking for strstr... yes checking for fcntl.h... no checking minix/config.h presence... yes yes no checking for minix/config.h... no checking minix/config.h usability... checking whether it is safe to define __EXTENSIONS__... checking for alloca.h... yes yes checking for sys/pstat.h... checking for strtod... no checking minix/config.h presence... yes checking for a sed that does not truncate output... no /usr/bin/sed checking for sys/sysmp.h... checking whether s390x-linux-gnu-gcc-13 supports -W... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes yes no checking for sys/sysinfo.h... checking for strtol... checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes yes checking for machine/hal_sysinfo.h... checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes no yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking for sys/table.h... checking for strtoul... no checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader no checking whether s390x-linux-gnu-gcc-13 supports -W... checking for sys/sysctl.h... yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... no checking for strtoll... checking for sys/systemcfg.h... yes checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... yes no checking whether s390x-linux-gnu-gcc-13 supports -Wnarrowing... checking for stdint.h... (cached) yes checking for stdio_ext.h... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-format-attribute... yes checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... checking for strtoull... yes yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-format-attribute... checking for process.h... checking whether s390x-linux-gnu-gcc-13 supports -Woverlength-strings... yes no checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking for sys/prctl.h... yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic -Wlong-long... yes yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for strverscmp... checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes checking for ANSI C header files... (cached) yes yes checking stddef.h usability... checking whether time.h and sys/time.h may both be included... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... checking for tmpnam... yes checking whether errno must be declared... yes checking stddef.h presence... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wc++-compat... yes checking for stddef.h... yes checking for vasprintf... no checking size of int... checking for stdlib.h... (cached) yes yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic -Wlong-long... checking for strings.h... (cached) yes yes checking whether s390x-linux-gnu-gcc-13 supports -fno-exceptions... yes checking for unistd.h... (cached) yes checking for vfprintf... checking fcntl.h usability... yes 4 checking size of long... checking whether s390x-linux-gnu-gcc-13 supports -fno-rtti... yes checking fcntl.h presence... yes checking for vprintf... yes checking for fcntl.h... yes yes checking sys/file.h usability... checking dependency style of s390x-linux-gnu-g++-13... yes yes checking sys/file.h presence... checking for vsnprintf... yes checking for sys/file.h... yes 8 checking size of size_t... checking for sys/stat.h... (cached) yes checking for clearerr_unlocked... gcc3 checking whether time.h and sys/time.h may both be included... yes checking for vsprintf... yes checking whether string.h and strings.h may both be included... yes checking for feof_unlocked... yes 8 checking locale.h usability... checking for long long... yes checking for waitpid... yes checking locale.h presence... yes yes checking for locale.h... yes checking for ferror_unlocked... checking fcntl.h usability... yes checking for setproctitle... yes yes checking size of long long... checking for fflush_unlocked... yes checking fcntl.h presence... yes checking for fcntl.h... yes no checking whether alloca needs Cray hooks... no checking stack direction for C alloca... checking limits.h usability... yes 8 checking for a 64-bit type... checking for fgetc_unlocked... yes checking limits.h presence... uint64_t checking for intptr_t... 1 checking for vfork.h... yes checking for limits.h... yes checking stddef.h usability... no checking for fork... yes checking for fgets_unlocked... yes checking stddef.h presence... yes checking for stddef.h... yes yes yes checking for stdlib.h... (cached) yes checking for vfork... checking for fileno_unlocked... yes checking for uintptr_t... checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... yes yes checking for working fork... checking for fprintf_unlocked... yes checking sys/file.h presence... yes checking for ssize_t... yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking whether byte ordering is bigendian... no yes checking for working vfork... (cached) yes checking for _doprnt... checking for fputc_unlocked... yes checking for pid_t... no checking for sys_errlist... yes checking for fputs_unlocked... yes checking for an ANSI C-conforming const... no checking for sys_nerr... yes checking for inline... yes inline checking for obstacks... checking for fread_unlocked... no checking for sys_siglist... yes checking for library containing strerror... yes yes checking for off_t... checking for fwrite_unlocked... none required no checking for external symbol _system_configuration... checking for asprintf... no checking for __fsetlocking... yes checking for getchar_unlocked... yes checking for atexit... yes yes checking for size_t... yes checking for canonicalize_file_name... checking for getc_unlocked... yes checking for basename... yes yes checking for putchar_unlocked... checking for dup3... yes checking for bcmp... yes checking for ssize_t... yes yes checking for putc_unlocked... checking for getrlimit... yes checking for bcopy... yes yes checking for getrusage... checking whether abort is declared... yes checking for uintptr_t... yes checking for bsearch... yes checking for getsysinfo... yes checking whether asprintf is declared... yes checking for bzero... yes checking for ptrdiff_t... no checking for gettimeofday... (cached) yes yes checking for on_exit... checking whether basename is declared... yes yes checking for calloc... checking for pipe2... yes checking for uint64_t... yes checking whether errno is declared... yes yes checking for clock... checking for psignal... yes checking whether struct tm is in sys/time.h or time.h... no checking whether vasprintf is declared... yes time.h checking size of int... yes checking for ffs... checking for pstat_getdynamic... yes checking whether memmem is declared... yes 4 checking size of long... no checking for getcwd... checking for pstat_getstatic... yes checking whether clearerr_unlocked is declared... yes checking for getpagesize... 8 no checking for realpath... checking for clearerr_unlocked... yes checking whether feof_unlocked is declared... yes checking for gettimeofday... yes yes checking for setrlimit... checking for feof_unlocked... yes yes checking whether ferror_unlocked is declared... yes checking for index... checking for spawnve... yes checking for ferror_unlocked... yes yes checking for insque... checking whether fflush_unlocked is declared... no yes checking for spawnvpe... checking for fflush_unlocked... yes yes checking whether fgetc_unlocked is declared... checking for memchr... no checking for strerror... yes checking for fgetc_unlocked... yes yes yes checking for memcmp... checking whether fgets_unlocked is declared... checking for strsignal... yes yes checking for fgets_unlocked... yes checking for memcpy... yes checking for sysconf... checking whether fileno_unlocked is declared... yes yes checking for fileno_unlocked... yes checking for memmem... checking whether fprintf_unlocked is declared... yes checking for sysctl... yes yes checking for fprintf_unlocked... checking for memmove... no no checking for sysmp... checking whether fputc_unlocked is declared... yes checking for mempcpy... no checking for fputc_unlocked... no checking for table... yes checking whether fputs_unlocked is declared... yes yes checking for fputs_unlocked... checking for memset... no checking for times... yes yes checking whether fread_unlocked is declared... checking for fread_unlocked... yes yes checking for mkstemps... checking for wait3... yes yes checking whether fwrite_unlocked is declared... checking for fwrite_unlocked... yes checking for putenv... yes checking for wait4... yes checking whether getchar_unlocked is declared... yes yes checking for getchar_unlocked... checking for random... yes checking for sbrk... yes checking whether getc_unlocked is declared... yes yes checking for rename... checking for getc_unlocked... yes checking whether basename is declared... yes yes checking for rindex... checking whether putchar_unlocked is declared... yes checking for putchar_unlocked... yes yes checking whether putc_unlocked is declared... yes checking for setenv... checking whether ffs is declared... yes yes checking for an ANSI C-conforming const... checking for putc_unlocked... yes checking whether asprintf is declared... yes checking for snprintf... yes checking sys/mman.h usability... yes yes checking whether vasprintf is declared... yes checking whether abort is declared... yes checking sys/mman.h presence... checking for sigsetmask... yes checking for sys/mman.h... yes checking for mmap... yes yes checking whether snprintf is declared... checking whether asprintf is declared... yes yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... checking for stpcpy... yes yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no yes checking whether vsnprintf is declared... checking whether basename is declared... configure: updating cache ./config.cache configure: creating ./config.status yes checking for stpncpy... yes checking whether calloc is declared... yes checking whether errno is declared... yes checking for strcasecmp... yes checking whether getenv is declared... no checking whether getopt is declared... yes checking for strchr... yes config.status: creating Makefile checking whether getopt is declared... config.status: creating mkheaders.almost yes checking whether vasprintf is declared... config.status: creating config.h yes checking for strdup... yes checking whether malloc is declared... yes checking whether clearerr_unlocked is declared... mkdir -p -- ./libbacktrace yes Configuring in ./libbacktrace checking for strncasecmp... yes checking whether realloc is declared... yes yes checking whether feof_unlocked is declared... checking for strndup... yes checking whether sbrk is declared... yes checking whether ferror_unlocked is declared... yes yes checking for strnlen... checking whether strtol is declared... yes checking whether fflush_unlocked is declared... yes checking for strrchr... yes checking whether strtoul is declared... yes checking whether fgetc_unlocked is declared... yes checking for strstr... yes checking whether strtoll is declared... yes checking whether fgets_unlocked is declared... yes checking for strtod... yes checking whether strtoull is declared... yes checking whether fileno_unlocked is declared... yes checking for strtol... yes checking whether strverscmp is declared... yes checking whether fprintf_unlocked is declared... yes checking for strtoul... yes checking whether strnlen is declared... configure: creating cache ./config.cache checking build system type... no s390x-ibm-linux-gnu checking host system type... checking whether fputc_unlocked is declared... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 yes yes checking whether canonicalize_file_name must be declared... checking for strtoll... yes checking whether the C compiler works... checking whether fputs_unlocked is declared... no checking for SHA1 HW acceleration support... yes no yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for strtoull... checking for stdlib.h... (cached) yes checking whether fread_unlocked is declared... checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... checking whether we are cross compiling... yes yes checking for strverscmp... checking whether fwrite_unlocked is declared... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes yes checking for tmpnam... checking whether getchar_unlocked is declared... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for working strncmp... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... yes checking whether getc_unlocked is declared... yes none needed checking whether s390x-linux-gnu-gcc-13 understands -c and -o together... yes checking for vasprintf... yes yes checking how to run the C preprocessor... checking whether putchar_unlocked is declared... configure: updating cache ./config.cache configure: creating ./config.status yes s390x-linux-gnu-gcc-13 -E checking for vfprintf... yes checking for grep that handles long lines and -e... checking whether putc_unlocked is declared... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for vprintf... yes checking for working alloca.h... yes checking for vsnprintf... yes checking for alloca... config.status: creating Makefile yes yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... checking for vsprintf... yes checking for sys/types.h... config.status: creating testsuite/Makefile yes yes config.status: creating config.h yes checking whether NLS is requested... no checking for uchar... checking for sys/stat.h... checking for waitpid... checking size of ino_t... config.status: executing default commands yes checking for stdlib.h... yes checking for setproctitle... yes mkdir -p -- ./libcody checking for string.h... Configuring in ./libcody 8 no checking size of dev_t... checking whether alloca needs Cray hooks... yes checking for memory.h... no checking stack direction for C alloca... yes checking for strings.h... 1 checking for vfork.h... no checking for fork... 8 yes checking for ld used by GCC... s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... checking for inttypes.h... done checking for iconv... (cached) no checking for CET support... no yes checking valgrind.h usability... yes checking for stdint.h... checking for vfork... no checking valgrind.h presence... yes checking for unistd.h... yes checking for working fork... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for VALGRIND_DISCARD in ... yes checking minix/config.h usability... no no checking minix/config.h presence... yes checking for working vfork... (cached) yes checking for _doprnt... configure: updating cache ./config.cache configure: creating ./config.status no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no checking for sys_errlist... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a thread-safe mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking whether /usr/bin/make supports nested variables... yes configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for s390x-linux-gnu-gcc... (cached) s390x-linux-gnu-gcc-13 no checking for sys_nerr... checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking maintainer-mode... checking whether we are using the GNU C compiler... (cached) yes checking whether s390x-linux-gnu-gcc-13 accepts -g... (cached) yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... (cached) none needed checking whether s390x-linux-gnu-gcc-13 understands -c and -o together... (cached) yes checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for gawk... (cached) gawk checking for dwz... dwz checking how to print strings... config.status: creating Makefile printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by s390x-linux-gnu-gcc-13... s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... s390x-linux-gnu-nm checking the name lister (s390x-linux-gnu-nm) interface... config.status: creating config.h no checking for sys_siglist... checking whether the C++ compiler works... config.status: executing depdir commands mkdir -p -- .deps BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... yes checking for C++ compiler default output file name... a.out checking for suffix of executables... no checking for external symbol _system_configuration... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for s390x-linux-gnu-ld option to reload object files... -r checking for s390x-linux-gnu-objdump... s390x-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking for s390x-linux-gnu-ranlib... (cached) s390x-linux-gnu-ranlib checking command to parse s390x-linux-gnu-nm output from s390x-linux-gnu-gcc-13 object... no mkdir -p -- ./libdecnumber checking for __fsetlocking... Configuring in ./libdecnumber checking whether we are cross compiling... yes checking for canonicalize_file_name... no checking for suffix of object files... ok o checking whether we are using the GNU C++ compiler... checking for dlfcn.h... yes yes checking whether s390x-linux-gnu-g++-13 accepts -g... checking for dup3... yes checking whether s390x-linux-gnu-g++-13 is for C++11... yes checking for objdir... .libs yes adding -std=c++11 checking adding -Wl,--no-undefined to linker... checking for getrlimit... ok checking exceptions... no checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking if s390x-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... yes checking for getrusage... configure: updating cache ./config.cache no checking for s390x-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if s390x-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... configure: creating ./config.status yes checking if s390x-linux-gnu-gcc-13 static flag -static works... yes checking for getsysinfo... yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... no checking for gettimeofday... (cached) yes checking for on_exit... yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the s390x-linux-gnu-gcc-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... config.status: creating Makefile yes checking whether -lc should be explicitly linked in... config.status: creating config.h yes configure: creating cache ./config.cache checking whether /usr/bin/make sets $(MAKE)... no checking dynamic linker characteristics... checking for pipe2... yes checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 mkdir -p -- ./c++tools Configuring in ./c++tools checking whether the C compiler works... yes checking for psignal... GNU/Linux ld.so checking how to hardcode library paths into programs... yes immediate checking for C compiler default output file name... a.out checking whether stripping libraries is possible... checking for suffix of executables... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes no checking unwind.h usability... checking whether we are cross compiling... checking for pstat_getdynamic... yes checking unwind.h presence... no checking for suffix of object files... no yes checking for unwind.h... yes checking for _Unwind_Backtrace... checking for pstat_getstatic... o checking whether we are using the GNU C compiler... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for -funwind-tables option... no checking for realpath... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... yes checking for -frandom-seed=string option... yes checking whether s390x-linux-gnu-gcc-13 supports -W... yes none needed checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether s390x-linux-gnu-gcc-13 supports -W... checking for setrlimit... yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... checking for spawnve... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... no checking for spawnvpe... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... yes yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-format-attribute... checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-format-attribute... no yes yes checking for strerror... checking whether s390x-linux-gnu-gcc-13 supports -Wcast-qual... checking whether s390x-linux-gnu-gcc-13 supports -Wcast-qual... yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic -Wlong-long... configure: creating cache ./config.cache yes checking build system type... checking for _Unwind_GetIPInfo... s390x-ibm-linux-gnu checking host system type... yes yes checking whether s390x-linux-gnu-gcc-13 supports -fno-lto... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking for strsignal... yes checking how to run the C preprocessor... yes checking for CET support... no checking __sync extensions... checking whether the C++ compiler works... yes checking for sysconf... s390x-linux-gnu-gcc-13 -E yes checking for C++ compiler default output file name... a.out yes checking __atomic extensions... checking for suffix of executables... yes checking for sysctl... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking whether we are cross compiling... yes checking output filetype... no checking for sysmp... elf64 looking for a compliant stdint.h in stdint.h, checking for uintmax_t... no checking for suffix of object files... no yes checking for uintptr_t... checking for table... o checking whether we are using the GNU C++ compiler... yes yes checking whether s390x-linux-gnu-g++-13 accepts -g... checking for sys/types.h... yes checking for autoconf... autoconf checking for autoheader... autoheader checking whether to build C++ tools... yes checking maintainer-mode... no checking for O_CLOEXEC... no yes checking for int_least32_t... checking for times... yes checking for sys/stat.h... yes yes checking how to run the C++ preprocessor... checking for wait3... yes checking for int_fast32_t... yes checking for stdlib.h... s390x-linux-gnu-g++-13 -E yes yes yes checking for uint64_t... checking for wait4... checking for grep that handles long lines and -e... checking for string.h... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for memory.h... yes yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... checking for sbrk... yes yes checking sys/mman.h presence... checking for strings.h... yes yes checking for sys/mman.h... yes checking for mmap... yes checking whether basename is declared... checking for inttypes.h... yes yes yes checking link.h usability... checking for sys/types.h... checking for stdint.h... yes yes checking whether ffs is declared... yes checking for unistd.h... yes checking link.h presence... checking for sys/stat.h... yes checking for link.h... yes yes yes checking sys/link.h usability... checking ctype.h usability... checking whether asprintf is declared... yes yes checking ctype.h presence... checking for stdlib.h... yes yes checking for ctype.h... yes checking whether vasprintf is declared... checking stddef.h usability... no checking sys/link.h presence... no checking for sys/link.h... no checking for dl_iterate_phdr... yes checking for string.h... yes checking stddef.h presence... yes checking mach-o/dyld.h usability... yes checking for stddef.h... yes yes yes checking for string.h... (cached) yes checking whether snprintf is declared... checking for memory.h... checking stdio.h usability... no checking mach-o/dyld.h presence... yes checking whether vsnprintf is declared... no checking for mach-o/dyld.h... no checking sys/ldr.h usability... yes checking stdio.h presence... yes yes checking for stdio.h... yes checking for strings.h... looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes no checking sys/ldr.h presence... checking whether calloc is declared... no checking for sys/ldr.h... no checking for fcntl... yes checking for inttypes.h... yes checking for uintptr_t... yes checking whether getenv is declared... yes checking whether strnlen is declared... yes checking for stdint.h... yes yes checking for int_least32_t... checking whether getopt is declared... yes checking whether getpagesize is declared... yes yes checking whether malloc is declared... checking for unistd.h... yes checking for int_fast32_t... yes checking for lstat... yes checking whether realloc is declared... yes checking sys/mman.h usability... yes checking for uint64_t... yes checking for readlink... yes checking whether sbrk is declared... yes yes checking sys/mman.h presence... checking for getexecname... yes checking what to include in gstdint.h... stdint.h (already complete) checking for an ANSI C-conforming const... yes checking for sys/mman.h... yes checking for AF_UNIX... yes checking whether strtol is declared... yes checking for off_t... yes checking for AF_INET6... no checking for KERN_PROC... yes checking whether strtoul is declared... yes checking for epoll... no checking for KERN_PROG_ARGS... yes checking size of int... yes yes checking for pselect... no checking for clock_gettime... checking whether strtoll is declared... yes checking for select... yes checking whether -pthread is supported... 4 yes checking size of long... yes checking whether -gdwarf-5 is supported... yes checking for accept4... checking whether strtoull is declared... yes yes checking for inet_ntop... yes checking for compress in -lz... checking whether strverscmp is declared... 8 checking for ANSI C header files... (cached) yes checking build system type... yes checking for library containing gethostbyname... s390x-ibm-linux-gnu checking host system type... yes checking whether --build-id is supported... s390x-ibm-linux-gnu checking target system type... yes s390x-ibm-linux-gnu checking for decimal floating point... dpd checking whether byte ordering is bigendian... checking whether strnlen is declared... none required checking for library containing socket... yes checking whether --compress-debug-sections is supported... yes checking whether canonicalize_file_name must be declared... yes checking for ZSTD_compress in -lzstd... yes checking for CET support... no none required no checking for SHA1 HW acceleration support... configure: updating cache ./config.cache configure: creating ./config.status configure: updating cache ./config.cache no configure: creating ./config.status checking for stdlib.h... (cached) yes no checking whether --compress-debug-sections=zstd is supported... checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... yes checking for objcopy... objcopy checking for readelf... s390x-linux-gnu-readelf checking whether objcopy supports debuglink... yes checking for dsymutil... dsymutil checking for nm... s390x-linux-gnu-nm checking for xz... xz checking for comm... comm checking for lzma_auto_decoder in -llzma... no checking whether tests can run... config.status: creating Makefile config.status: creating Makefile config.status: creating config.h config.status: creating config.h yes config.status: executing gstdint.h commands yes checking for working strncmp... configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' if [ x"-fPIC" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi yes make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' mkdir -p -- ./libcpp Configuring in ./libcpp configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dwarfnames.c -o dwarfnames.o config.status: creating testsuite/Makefile config.status: creating config.h config.status: executing default commands make[4]: Entering directory '/<>/builddir/gcc/build/libcody' s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi configure: creating cache ./config.cache checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes checking for a BSD-compatible install... /usr/bin/install -c checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking whether the C compiler works... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dyn-string.c -o dyn-string.o yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fdmatch.c -o fdmatch.o none needed checking whether we are using the GNU C++ compiler... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi yes checking whether s390x-linux-gnu-g++-13 accepts -g... yes checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking how to run the C preprocessor... config.status: creating Makefile s390x-linux-gnu-gcc-13 -E config.status: creating backtrace-supported.h config.status: creating install-debuginfo-for-buildid.sh checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fibheap.c -o fibheap.o config.status: creating config.h config.status: executing libtool commands config.status: executing gstdint.h commands config.status: executing default commands yes checking for sys/types.h... yes checking for sys/stat.h... s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi yes checking for stdlib.h... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi yes checking for string.h... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filename_cmp.c -o filename_cmp.o yes checking for memory.h... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi yes make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no s390x-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/decNumber.c checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi checking for unistd.h... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/floatformat.c -o floatformat.o yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether s390x-linux-gnu-gcc-13 supports -W... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fnmatch.c -o fnmatch.o yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi yes checking whether s390x-linux-gnu-gcc-13 supports -Wnarrowing... yes checking whether s390x-linux-gnu-gcc-13 supports -Wwrite-strings... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-format-attribute... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt.c -o getopt.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt1.c -o getopt1.o yes if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking whether s390x-linux-gnu-gcc-13 supports -Wc++-compat... yes checking whether s390x-linux-gnu-gcc-13 supports -pedantic -Wlong-long... yes checking whether s390x-linux-gnu-gcc-13 supports -fno-exceptions... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getpwd.c -o getpwd.o yes checking whether s390x-linux-gnu-gcc-13 supports -fno-rtti... yes if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc checking dependency style of s390x-linux-gnu-g++-13... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getruntime.c -o getruntime.o gcc3 checking whether time.h and sys/time.h may both be included... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi yes checking whether string.h and strings.h may both be included... yes checking locale.h usability... yes checking locale.h presence... yes checking for locale.h... yes checking fcntl.h usability... yes checking fcntl.h presence... yes checking for fcntl.h... yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi checking limits.h usability... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hashtab.c -o hashtab.o yes checking limits.h presence... s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc yes checking for limits.h... yes checking stddef.h usability... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... yes checking sys/file.h presence... yes checking for sys/file.h... yes if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi checking for unistd.h... (cached) yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi checking whether byte ordering is bigendian... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hex.c -o hex.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lbasename.c -o lbasename.o yes checking for an ANSI C-conforming const... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi yes checking for inline... s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc inline checking for obstacks... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi yes checking for off_t... yes checking for size_t... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o yes checking for ssize_t... s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc yes checking for uintptr_t... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-temp-file.c -o make-temp-file.o yes checking for ptrdiff_t... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi yes checking for uint64_t... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi yes checking whether struct tm is in sys/time.h or time.h... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/objalloc.c -o objalloc.o time.h checking size of int... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi 4 checking size of long... s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/obstack.c -o obstack.o 8 source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no s390x-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/decContext.c checking for clearerr_unlocked... yes checking for feof_unlocked... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi yes source='../../src/libdecnumber/dpd/decimal32.c' object='decimal32.o' libtool=no s390x-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/dpd/decimal32.c checking for ferror_unlocked... yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/partition.c -o partition.o checking for fflush_unlocked... yes if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi source='../../src/libdecnumber/dpd/decimal64.c' object='decimal64.o' libtool=no s390x-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/dpd/decimal64.c checking for fgetc_unlocked... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pexecute.c -o pexecute.o yes checking for fgets_unlocked... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi yes checking for fileno_unlocked... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/physmem.c -o physmem.o yes checking for fprintf_unlocked... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi source='../../src/libdecnumber/dpd/decimal128.c' object='decimal128.o' libtool=no s390x-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/dpd/decimal128.c no checking for fputc_unlocked... yes checking for fputs_unlocked... yes checking for fread_unlocked... yes checking for fwrite_unlocked... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-common.c -o pex-common.o s390x-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \ -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc yes checking for getchar_unlocked... rm -f libdecnumber.a s390x-linux-gnu-ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o s390x-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') yes s390x-linux-gnu-ranlib libdecnumber.a checking for getc_unlocked... make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o dwarfnames.o checking for putchar_unlocked... if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi checking for putc_unlocked... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-one.c -o pex-one.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi yes checking whether abort is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o dyn-string.o yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-unix.c -o pex-unix.o checking whether asprintf is declared... yes checking whether basename is declared... s390x-linux-gnu-ar -cr libcody.a buffer.o client.o fatal.o netclient.o netserver.o resolver.o packet.o server.o yes s390x-linux-gnu-ranlib libcody.a checking whether errno is declared... no make[4]: Leaving directory '/<>/builddir/gcc/build/libcody' if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi checking whether getopt is declared... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi yes make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' /usr/bin/make all-am checking whether vasprintf is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o atomic.lo ../../src/libbacktrace/atomic.c if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o fibheap.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/vprintf-support.c -o vprintf-support.o yes libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 checking whether clearerr_unlocked is declared... /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o dwarf.lo ../../src/libbacktrace/dwarf.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o yes if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi checking whether feof_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o filename_cmp.o yes checking whether ferror_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o floatformat.o yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o fnmatch.o yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi checking whether fileno_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o getopt.o yes checking whether fprintf_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o getpwd.o no checking whether fputc_unlocked is declared... yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o getruntime.o checking whether fputs_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi yes if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o hashtab.o checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/rust-demangle.c -o rust-demangle.o yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi yes s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o hex.o checking whether putchar_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o lbasename.o yes checking whether putc_unlocked is declared... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o lrealpath.o yes checking for working alloca.h... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o yes checking for alloca... libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... yes checking whether NLS is requested... yes checking for catalogs to be installed... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o make-temp-file.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o obstack.o be ca da de el eo es fi fr id ja ka nl pt_BR ro ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja ka nl pt_BR ro ru sr sv tr uk vi zh_CN zh_TW checking for uchar... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o partition.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object.c -o simple-object.o checking size of ino_t... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pexecute.o 8 checking size of dev_t... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o physmem.o 8 checking for ld used by GCC... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi yes checking for shared library run path origin... s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pex-common.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi done checking for iconv... yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for CET support... no checking valgrind.h usability... if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o no checking valgrind.h presence... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for VALGRIND_DISCARD in ... no if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi configure: updating cache ./config.cache if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pex-one.o configure: creating ./config.status if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi config.status: creating Makefile config.status: creating config.h if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o vprintf-support.o config.status: executing depdir commands mkdir -p -- .deps /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o fileline.lo ../../src/libbacktrace/fileline.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o posix.lo ../../src/libbacktrace/posix.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o rust-demangle.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o print.lo ../../src/libbacktrace/print.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o sort.lo ../../src/libbacktrace/sort.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o state.lo ../../src/libbacktrace/state.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o backtrace.lo ../../src/libbacktrace/backtrace.c /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o simple.lo ../../src/libbacktrace/simple.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o elf.lo ../../src/libbacktrace/elf.c /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmapio.lo ../../src/libbacktrace/mmapio.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmap.lo ../../src/libbacktrace/mmap.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o simple-object.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sort.c -o sort.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/spaces.c -o spaces.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/stack-limit.c -o stack-limit.o true DO=all multi-do # /usr/bin/make if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o sort.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strerror.c -o strerror.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o spaces.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o stack-limit.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o strerror.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strsignal.c -o strsignal.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o strsignal.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o xasprintf.o s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xasprintf.c -o xasprintf.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o xatexit.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o xexit.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o xmemdup.o s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xexit.c -o xexit.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmemdup.c -o xmemdup.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o setproctitle.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrdup.c -o xstrdup.o make[5]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty/testsuite' if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o regex.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o cp-demangle.o s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/setproctitle.c -o setproctitle.o echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi /bin/bash ./libtool --tag=CC --mode=link s390x-linux-gnu-gcc-13 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -static-libstdc++ -static-libgcc -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo libtool: link: s390x-linux-gnu-ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o libtool: link: s390x-linux-gnu-ranlib .libs/libbacktrace.a libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/regex.c -o regex.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o md5.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/md5.c -o md5.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o sha1.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o argv.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o bsearch_r.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o concat.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sha1.c -o sha1.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o d-demangle.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/alloca.c -o alloca.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/argv.c -o argv.o rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a s390x-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o s390x-linux-gnu-ranlib ./libiberty.a if [ x"" != x ]; then \ cd pic; \ s390x-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ s390x-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ s390x-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ s390x-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty' if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi make[4]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/fixincludes' if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/bsearch_r.c -o bsearch_r.o s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c make[4]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libcpp' s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demangle.c -o cp-demangle.o s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh s390x-ibm-linux-gnu sed -e 's/@gcc_version@/13/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders s390x-linux-gnu-gcc-13 -g -O2 -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a echo timestamp > full-stamp make[4]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/fixincludes' s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi ../../../src/libcpp/expr.cc: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: ../../../src/libcpp/expr.cc:821:35: warning: format not a string literal and no format arguments [-Wformat-security] 821 | cpp_warning_with_line (pfile, CPP_W_LONG_LONG, virtual_location, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 822 | 0, message); | ~~~~~~~~~~~ ../../../src/libcpp/expr.cc:824:38: warning: format not a string literal and no format arguments [-Wformat-security] 824 | cpp_pedwarning_with_line (pfile, CPP_W_LONG_LONG, | ~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ 825 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/expr.cc:834:33: warning: format not a string literal and no format arguments [-Wformat-security] 834 | cpp_warning_with_line (pfile, CPP_W_SIZE_T_LITERALS, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 835 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/choose-temp.c -o choose-temp.o s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc ../../../src/libcpp/macro.cc: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: ../../../src/libcpp/macro.cc:185:26: warning: format not a string literal and no format arguments [-Wformat-security] 185 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 186 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/macro.cc:214:34: warning: format not a string literal and no format arguments [-Wformat-security] 214 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 215 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/macro.cc: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: ../../../src/libcpp/macro.cc:3704:25: warning: format not a string literal and no format arguments [-Wformat-security] 3704 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/macro.cc:3719:25: warning: format not a string literal and no format arguments [-Wformat-security] 3719 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/concat.c -o concat.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/crc32.c -o crc32.o if [ x"-fPIC" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc if [ x"" != x ]; then \ s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi s390x-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/d-demangle.c -o d-demangle.o s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc s390x-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a s390x-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o s390x-linux-gnu-ranlib ./libiberty.a if [ x"-fPIC" != x ]; then \ cd pic; \ s390x-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ s390x-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ s390x-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ s390x-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.cc make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /bin/bash ./libtool --tag=CC --tag=disable-static --mode=compile s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -DBASE_VERSION='"13"' -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c libtool: compile: s390x-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -DBASE_VERSION=\"13\" -g -O2 -c ../../src/lto-plugin/lto-plugin.c -fPIC -DPIC -o .libs/lto-plugin.o rm -f libcpp.a s390x-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o s390x-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') s390x-linux-gnu-ranlib libcpp.a make[4]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libcpp' s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.cc s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c /bin/bash ./libtool --tag=CC --tag=disable-static --mode=link s390x-linux-gnu-gcc-13 -Wall -DBASE_VERSION='"13"' -g -O2 -Wc,-static-libgcc -pthread -module -avoid-version -bindir /usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 lto-plugin.lo -Wc,../libiberty/pic/libiberty.a libtool: link: s390x-linux-gnu-gcc-13 -shared -fPIC -DPIC .libs/lto-plugin.o -static-libgcc -pthread -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -pthread -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" ) mkdir -p -- ../gcc libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<>/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<>/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.cc s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.cc s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c ../../src/libcpp/expr.cc: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: ../../src/libcpp/expr.cc:821:35: warning: format not a string literal and no format arguments [-Wformat-security] 821 | cpp_warning_with_line (pfile, CPP_W_LONG_LONG, virtual_location, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 822 | 0, message); | ~~~~~~~~~~~ ../../src/libcpp/expr.cc:824:38: warning: format not a string literal and no format arguments [-Wformat-security] 824 | cpp_pedwarning_with_line (pfile, CPP_W_LONG_LONG, | ~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ 825 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libcpp/expr.cc:834:33: warning: format not a string literal and no format arguments [-Wformat-security] 834 | cpp_warning_with_line (pfile, CPP_W_SIZE_T_LITERALS, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 835 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.cc srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh s390x-ibm-linux-gnu sed -e 's/@gcc_version@/13/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders s390x-linux-gnu-gcc-13 -g -O2 -static-libstdc++ -static-libgcc -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a echo timestamp > full-stamp make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.cc echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs Configuring in ./gcc configure: creating cache ./config.cache checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default dsymutil was specified... no checking whether a default assembler was specified... no checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc none needed s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.cc checking whether we are using the GNU C++ compiler... yes checking whether s390x-linux-gnu-g++-13 accepts -g... yes checking for s390x-linux-gnu-gnatbind... s390x-linux-gnu-gnatbind checking for s390x-linux-gnu-gnatmake... gnatmake -v -j4 -R -eS checking whether compiler driver understands Ada and is recent enough... yes checking for s390x-linux-gnu-gdc... no checking whether the D compiler works... no checking how to run the C++ preprocessor... s390x-linux-gnu-g++-13 -E s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.cc checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... ../../src/libcpp/macro.cc: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: ../../src/libcpp/macro.cc:185:26: warning: format not a string literal and no format arguments [-Wformat-security] 185 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 186 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../src/libcpp/macro.cc:214:34: warning: format not a string literal and no format arguments [-Wformat-security] 214 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 215 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ yes ../../src/libcpp/macro.cc: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: ../../src/libcpp/macro.cc:3704:25: warning: format not a string literal and no format arguments [-Wformat-security] 3704 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libcpp/macro.cc:3719:25: warning: format not a string literal and no format arguments [-Wformat-security] 3719 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking how to run the C preprocessor... s390x-linux-gnu-gcc-13 -E checking for inline... s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.cc inline checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of ino_t... 8 checking size of dev_t... 8 checking size of void *... 8 checking size of short... 2 checking size of int... s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.cc 4 checking size of long... 8 checking for long long... yes checking size of long long... 8 checking for int8_t... s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.cc yes checking for int16_t... s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.cc yes checking for int32_t... s390x-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc yes checking for int64_t... yes checking for unsigned long long int... yes checking for long long int... yes checking for intmax_t... yes checking for intptr_t... yes checking for uint8_t... rm -f libcpp.a s390x-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o s390x-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') yes checking for uint16_t... s390x-linux-gnu-ranlib libcpp.a make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' yes checking for uint32_t... yes checking for uint64_t... yes checking for uintmax_t... yes checking for uintptr_t... yes checking for int64_t underlying type... long checking for std::swap in ... yes checking whether s390x-linux-gnu-g++-13 is affected by placement new aliasing bug... no checking whether s390x-linux-gnu-g++-13 supports -W... yes checking whether s390x-linux-gnu-g++-13 supports -Wall... yes checking whether s390x-linux-gnu-g++-13 supports -Wnarrowing... yes checking whether s390x-linux-gnu-g++-13 supports -Wwrite-strings... yes checking whether s390x-linux-gnu-g++-13 supports -Wcast-qual... yes checking whether s390x-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes checking whether s390x-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes checking whether s390x-linux-gnu-g++-13 supports -Wmissing-format-attribute... yes checking whether s390x-linux-gnu-g++-13 supports -Wconditionally-supported... yes checking whether s390x-linux-gnu-g++-13 supports -Woverloaded-virtual... yes checking whether s390x-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking whether s390x-linux-gnu-gcc-13 supports -Wc++-compat... yes checking whether s390x-linux-gnu-g++-13 supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... yes checking whether s390x-linux-gnu-gcc-13 supports -fno-exceptions... yes checking whether s390x-linux-gnu-gcc-13 supports -fno-rtti... yes checking whether s390x-linux-gnu-gcc-13 supports -fasynchronous-unwind-tables... yes checking valgrind.h usability... no checking valgrind.h presence... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for VALGRIND_DISCARD in ... no checking for multiarch configuration... yes configure: WARNING: fixed-point is not supported for this target, ignored checking whether /usr/bin/make sets $(MAKE)... yes checking for gawk... gawk checking whether ln -s works... yes checking whether ln works... yes checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for a BSD compatible install... /usr/bin/install -c checking for cmp's capabilities... gnucompare checking for mktemp... yes checking for makeinfo... makeinfo --split-size=5000000 checking for modern makeinfo... yes checking for CONTENTS_OUTPUT_LOCATION support in makeinfo --split-size=5000000... yes checking for recent Pod::Man... yes checking for flex... /<>/builddir/gcc/src/missing flex checking for bison... /<>/builddir/gcc/src/missing bison checking for python3... python3 checking for modern python3... yes checking for nm... s390x-linux-gnu-nm checking for ar... s390x-linux-gnu-ar checking for sphinx-build... texinfo checking for ANSI C header files... (cached) yes checking whether time.h and sys/time.h may both be included... yes checking whether string.h and strings.h may both be included... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking whether termios.h defines TIOCGWINSZ... no checking whether sys/ioctl.h defines TIOCGWINSZ... yes checking for limits.h... yes checking for stddef.h... yes checking for string.h... (cached) yes checking for strings.h... (cached) yes checking for stdlib.h... (cached) yes checking for time.h... yes checking for iconv.h... yes checking for fcntl.h... yes checking for ftw.h... yes checking for unistd.h... (cached) yes checking for sys/auxv.h... yes checking for sys/file.h... yes checking for sys/time.h... yes checking for sys/mman.h... yes checking for sys/resource.h... yes checking for sys/param.h... yes checking for sys/times.h... yes checking for sys/stat.h... (cached) yes checking for sys/locking.h... no checking for sys/auxv.h... (cached) yes checking for direct.h... no checking for malloc.h... yes checking for langinfo.h... yes checking for ldfcn.h... no checking for locale.h... yes checking for wchar.h... yes checking for thread.h... no checking for pthread.h... yes checking for CHAR_BIT... yes checking whether byte ordering is bigendian... yes checking how to run the C++ preprocessor... s390x-linux-gnu-g++-13 -E checking for unordered_map... yes checking for tr1/unordered_map... yes checking for ext/hash_map... yes checking dependency style of s390x-linux-gnu-g++-13... gcc3 checking for collect2 libraries... none required checking for library containing exc_resume... no checking for library containing kstat_open... no checking for library containing gethostbyname... none required checking for library containing socket... none required checking for library containing ldexp... none required checking for library containing dlopen... none required checking for inttypes.h... yes checking for library containing ZSTD_compress... no checking for zstd.h... (cached) no checking for times... yes checking for clock... yes checking for kill... yes checking for getrlimit... yes checking for setrlimit... yes checking for atoq... no checking for popen... yes checking for sysconf... yes checking for strsignal... yes checking for getrusage... yes checking for nl_langinfo... yes checking for gettimeofday... yes checking for mbstowcs... yes checking for wcswidth... yes checking for mmap... yes checking for posix_fallocate... yes checking for setlocale... yes checking for clearerr_unlocked... yes checking for feof_unlocked... yes checking for ferror_unlocked... yes checking for fflush_unlocked... yes checking for fgetc_unlocked... yes checking for fgets_unlocked... yes checking for fileno_unlocked... yes checking for fprintf_unlocked... no checking for fputc_unlocked... yes checking for fputs_unlocked... yes checking for fread_unlocked... yes checking for fwrite_unlocked... yes checking for getchar_unlocked... yes checking for getc_unlocked... yes checking for putchar_unlocked... yes checking for putc_unlocked... yes checking for madvise... yes checking for mallinfo... yes checking for mallinfo2... yes checking for fstatat... yes checking for getauxval... yes checking whether mbstowcs works... yes checking for ssize_t... yes checking for caddr_t... yes checking for sighander_t... no checking for sys/mman.h... (cached) yes checking for mmap... (cached) yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking for pid_t... yes checking for vfork.h... no checking for fork... yes checking for vfork... yes checking for working fork... yes checking for working vfork... (cached) yes checking for ld used by GCC... s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for LC_MESSAGES... yes checking for nl_langinfo and CODESET... yes checking whether basename is declared... yes checking whether strstr is declared... yes checking whether getenv is declared... yes checking whether atol is declared... yes checking whether atoll is declared... yes checking whether asprintf is declared... yes checking whether sbrk is declared... yes checking whether abort is declared... yes checking whether atof is declared... yes checking whether getcwd is declared... yes checking whether getwd is declared... yes checking whether madvise is declared... yes checking whether stpcpy is declared... yes checking whether strnlen is declared... yes checking whether strsignal is declared... yes checking whether strverscmp is declared... yes checking whether strtol is declared... yes checking whether strtoul is declared... yes checking whether strtoll is declared... yes checking whether strtoull is declared... yes checking whether setenv is declared... yes checking whether unsetenv is declared... yes checking whether errno is declared... yes checking whether snprintf is declared... yes checking whether vsnprintf is declared... yes checking whether vasprintf is declared... yes checking whether malloc is declared... yes checking whether realloc is declared... yes checking whether calloc is declared... yes checking whether free is declared... yes checking whether getopt is declared... yes checking whether clock is declared... yes checking whether getpagesize is declared... yes checking whether ffs is declared... yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... yes checking whether putchar_unlocked is declared... yes checking whether putc_unlocked is declared... yes checking whether getrlimit is declared... yes checking whether setrlimit is declared... yes checking whether getrusage is declared... yes checking whether mallinfo is declared... yes checking whether mallinfo2 is declared... yes checking whether ldgetname is declared... no checking whether times is declared... yes checking whether sigaltstack is declared... yes checking for struct tms... yes checking for clock_t... yes checking for F_SETLKW... yes checking for O_CLOEXEC... yes checking for fcntl.h... (cached) yes checking whether O_NONBLOCK is declared... yes checking for AF_UNIX... yes checking for AF_INET6... yes checking for _LK_LOCK... no checking if mkdir takes one argument... no Using `../../src/gcc/config/s390/s390.cc' for machine-specific logic. Using `../../src/gcc/config/s390/s390.md' as machine description file. Using the following target machine macro files: ../../src/gcc/config/s390/s390x.h ../../src/gcc/config/s390/s390.h ../../src/gcc/config/elfos.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/linux.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/s390/linux.h ../../src/gcc/config/initfini-array.h Using host-linux.o for host machine hooks. checking for __cxa_atexit... yes checking whether NLS is requested... yes checking for catalogs to be installed... be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by s390x-linux-gnu-gcc-13... s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... s390x-linux-gnu-nm checking the name lister (s390x-linux-gnu-nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for s390x-linux-gnu-ld option to reload object files... -r checking for s390x-linux-gnu-objdump... s390x-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for s390x-linux-gnu-ar... (cached) s390x-linux-gnu-ar checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking for s390x-linux-gnu-ranlib... (cached) s390x-linux-gnu-ranlib checking command to parse s390x-linux-gnu-nm output from s390x-linux-gnu-gcc-13 object... ok checking for dlfcn.h... yes checking for objdir... .libs checking if s390x-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... no checking for s390x-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if s390x-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... yes checking if s390x-linux-gnu-gcc-13 static flag -static works... yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the s390x-linux-gnu-gcc-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... s390x-linux-gnu-g++-13 -E checking for ld used by s390x-linux-gnu-g++-13... s390x-linux-gnu-ld -m elf64_s390 checking if the linker (s390x-linux-gnu-ld -m elf64_s390) is GNU ld... yes checking whether the s390x-linux-gnu-g++-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... yes checking for s390x-linux-gnu-g++-13 option to produce PIC... -fPIC -DPIC checking if s390x-linux-gnu-g++-13 PIC flag -fPIC -DPIC works... yes checking if s390x-linux-gnu-g++-13 static flag -static works... yes checking if s390x-linux-gnu-g++-13 supports -c -o file.o... yes checking if s390x-linux-gnu-g++-13 supports -c -o file.o... (cached) yes checking whether the s390x-linux-gnu-g++-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for s390x-linux-gnu-as... /usr/bin/s390x-linux-gnu-as checking for s390x-linux-gnu-ld... /usr/bin/s390x-linux-gnu-ld checking whether we are using gold... no checking whether we are using mold... no checking gold linker with split stack support as non default... no checking what linker to use... /usr/bin/s390x-linux-gnu-ld checking for s390x-linux-gnu-nm... /usr/bin/s390x-linux-gnu-nm checking what nm to use... /usr/bin/s390x-linux-gnu-nm checking for s390x-linux-gnu-objdump... /usr/bin/s390x-linux-gnu-objdump checking what objdump to use... /usr/bin/s390x-linux-gnu-objdump checking for s390x-linux-gnu-readelf... /usr/bin/s390x-linux-gnu-readelf checking what readelf to use... /usr/bin/s390x-linux-gnu-readelf checking for otool... no checking what otool to use... not found checking for dsymutil... /usr/bin/dsymutil checking assembler flags... checking assembler for .balign and .p2align... yes checking assembler for .p2align with maximum skip... yes checking assembler for .literal16... no checking assembler for working .subsection -1... yes checking assembler for .weak... yes checking assembler for .weakref... yes checking assembler for .nsubspa comdat... no checking assembler for .hidden... yes checking linker for .hidden support... yes checking linker read-only and read-write section mixing... read-write checking for .preinit_array/.init_array/.fini_array support... (cached) yes checking assembler for .sleb128 and .uleb128... yes checking assembler for cfi directives... yes checking assembler for working cfi advance... yes checking assembler for cfi personality directive... yes checking assembler for cfi sections directive... yes checking assembler for eh_frame optimization... yes checking assembler for section exclude flag... yes checking assembler for section 'R' flag... yes checking assembler for section 'o' flag... yes checking assembler for section merging support... yes checking assembler for COMDAT group support (GNU as)... yes checking assembler for line table is_stmt support... yes checking assembler for line table discriminator support... yes checking assembler for thread-local storage support... yes checking linker -Bstatic/-Bdynamic option... yes checking linker --version-script option... yes checking linker soname option... yes checking linker --demangle support... yes checking linker plugin support... 2 checking assembler for .gnu_attribute support... yes checking assembler for .machine and .machinemode support... yes checking assembler for architecture modifiers support... yes checking assembler for vector load/store alignment hints... yes checking assembler for vector load/store alignment hints on z13... yes checking assembler for dwarf2 debug_line support... yes checking assembler for buggy dwarf2 .file directive... no checking assembler for dwarf2 debug_view support... yes checking assembler for --gdwarf2 option... yes checking assembler for --gdwarf-5 option... yes checking assembler for assembly of compiler generated 64-bit .debug_line... yes checking assembler for --gdwarf-4 not refusing compiler generated .debug_line... yes checking assembler for --gdwarf-4 with the APP marker... no checking assembler for --debug-prefix-map option... yes checking assembler for compressed debug sections... 2 checking assembler for .lcomm with alignment... no checking for target glibc version... 2.39 checking assembler for tolerance to line number 0... yes checking support for thin archives... yes checking linker PT_GNU_EH_FRAME support... yes checking linker CIEv3 in .eh_frame support... yes checking linker position independent executable support... yes checking linker PIE support with copy reloc... no checking linker EH-compatible garbage collection of sections... yes checking linker EH garbage collection of sections bug... no checking linker for compressed debug sections... 2 checking linker --as-needed support... yes checking linker mapfile support for clearing hardware capabilities... no checking linker --build-id support... yes checking linker *_sol2 emulation support... no checking linker --sysroot support... yes checking __stack_chk_fail in target C library... yes checking sys/sdt.h in the target C library... no checking dl_iterate_phdr in target C library... unknown checking whether to enable maintainer-specific portions of Makefiles... no checking whether to avoid linking multiple front-ends at once... no checking whether to serialize linking of multiple front-ends... no Links are now set up to build a native compiler for s390x-ibm-linux-gnu. checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... (cached) none required checking for -fPIC -shared... yes gcc_driver_version: 13 checking for -fno-PIE option... yes checking for -no-pie option... yes checking for CET support... no checking linker -z bndplt option... no checking linker --push-state/--pop-state options... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating as config.status: creating collect-ld config.status: creating nm config.status: creating dsymutil config.status: creating Makefile config.status: creating ada/gcc-interface/Makefile config.status: creating ada/Makefile config.status: creating m2/config-make config.status: creating m2/Make-maintainer config.status: creating auto-host.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing gccdepdir commands mkdir -p -- build/.deps mkdir -p -- ada/.deps mkdir -p -- c/.deps mkdir -p -- cp/.deps mkdir -p -- d/.deps mkdir -p -- fortran/.deps mkdir -p -- go/.deps mkdir -p -- jit/.deps mkdir -p -- lto/.deps mkdir -p -- m2/.deps mkdir -p -- objc/.deps mkdir -p -- objcp/.deps mkdir -p -- rust/.deps mkdir -p -- vhdl/.deps mkdir -p -- c-family/.deps mkdir -p -- common/.deps mkdir -p -- analyzer/.deps mkdir -p -- rtl-ssa/.deps config.status: executing default commands mkdir -p -- ./libcc1 Configuring in ./libcc1 make[4]: Entering directory '/<>/builddir/gcc/build/gcc' configure: creating cache ./config.cache checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking target system type... s390x-ibm-linux-gnu checking for s390x-linux-gnu-gcc... s390x-linux-gnu-gcc-13 /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/be.gmo ../../src/gcc/po/be.po mkdir -p -- po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/da.gmo ../../src/gcc/po/da.po /usr/bin/msgfmt --statistics -o po/de.gmo ../../src/gcc/po/de.po checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... 59 translated messages, 2571 fuzzy translations, 13159 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/el.gmo ../../src/gcc/po/el.po o checking whether we are using the GNU C compiler... yes checking whether s390x-linux-gnu-gcc-13 accepts -g... yes checking for s390x-linux-gnu-gcc-13 option to accept ISO C89... none needed checking whether s390x-linux-gnu-gcc-13 understands -c and -o together... 15789 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/es.gmo ../../src/gcc/po/es.po 1664 translated messages, 8110 fuzzy translations, 6015 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/fi.gmo ../../src/gcc/po/fi.po yes checking how to run the C preprocessor... 40 translated messages, 4808 fuzzy translations, 10941 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/fr.gmo ../../src/gcc/po/fr.po s390x-linux-gnu-gcc-13 -E checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... 8358 translated messages, 5745 fuzzy translations, 1686 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/hr.gmo ../../src/gcc/po/hr.po yes 2201 translated messages, 10316 fuzzy translations, 3272 untranslated messages. checking for sys/stat.h... /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/id.gmo ../../src/gcc/po/id.po 15789 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/ja.gmo ../../src/gcc/po/ja.po yes checking for stdlib.h... yes checking for string.h... 968 translated messages, 14821 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/nl.gmo ../../src/gcc/po/nl.po yes checking for memory.h... yes 2191 translated messages, 7044 fuzzy translations, 6554 untranslated messages. checking for strings.h... /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/ru.gmo ../../src/gcc/po/ru.po yes checking for inttypes.h... 2766 translated messages, 8274 fuzzy translations, 4749 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/sr.gmo ../../src/gcc/po/sr.po yes 735 translated messages, 7162 fuzzy translations, 7892 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/sv.gmo ../../src/gcc/po/sv.po checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes 2362 translated messages, 7949 fuzzy translations, 5478 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/tr.gmo ../../src/gcc/po/tr.po checking for a thread-safe mkdir -p... 9811 translated messages, 3769 fuzzy translations, 2209 untranslated messages. /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... /bin/bash ../../src/gcc/../mkinstalldirs po 15789 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/uk.gmo ../../src/gcc/po/uk.po /usr/bin/msgfmt --statistics -o po/vi.gmo ../../src/gcc/po/vi.po yes checking for style of include used by /usr/bin/make... GNU checking whether /usr/bin/make supports nested variables... yes checking dependency style of s390x-linux-gnu-gcc-13... gcc3 checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by s390x-linux-gnu-gcc-13... s390x-linux-gnu-ld checking if the linker (s390x-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... s390x-linux-gnu-nm checking the name lister (s390x-linux-gnu-nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for s390x-linux-gnu-ld option to reload object files... -r checking for s390x-linux-gnu-objdump... s390x-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking command to parse s390x-linux-gnu-nm output from s390x-linux-gnu-gcc-13 object... 4111 translated messages, 3258 fuzzy translations, 8420 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_CN.gmo ../../src/gcc/po/zh_CN.po 2208 translated messages, 8499 fuzzy translations, 5082 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_TW.gmo ../../src/gcc/po/zh_TW.po ok checking for dlfcn.h... 15789 translated messages. TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh config.h yes checking for objdir... .libs TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/s390/s390x.h config/s390/s390.h config/elfos.h config/gnu-user.h config/linux.h config/glibc-stdint.h config/s390/linux.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \ /bin/bash ../../src/gcc/mkconfig.sh tm.h checking if s390x-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... TARGET_CPU_DEFAULT="" \ HEADERS="config/linux-protos.h config/s390/s390-protos.h tm-preds.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_p.h TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh bconfig.h LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/rust/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt ../../src/gcc/config/fused-madd.opt ../../src/gcc/config/s390/s390.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt > tmp-optionlist no checking for s390x-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if s390x-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... 4464 translated messages, 8686 fuzzy translations, 2639 untranslated messages. yes checking if s390x-linux-gnu-gcc-13 static flag -static works... yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... /bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list 2166 translated messages, 10645 fuzzy translations, 2978 untranslated messages. echo timestamp > s-gtyp-input echo "#define BUILDING_GCC_MAJOR `echo 13.2.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h TARGET_CPU_DEFAULT="" \ HEADERS="config/s390/s390-d.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_d.h echo "#define BUILDING_GCC_MINOR `echo 13.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h if test yes = yes \ || test -n "../lib:s390x-linux-gnu ../lib32:s390-linux-gnu"; then \ /bin/bash ../../src/gcc/genmultilib \ "m64/m31" \ "64 32" \ "" \ "" \ "" \ "" \ "../lib:s390x-linux-gnu ../lib32:s390-linux-gnu" \ "" \ "" \ "" \ "yes" \ > tmp-mlib.h; \ else \ /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "s390x-linux-gnu" '' no \ > tmp-mlib.h; \ fi echo "#define BUILDING_GCC_PATCHLEVEL `echo 13.2.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h echo timestamp > s-bversion yes checking if s390x-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the s390x-linux-gnu-gcc-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... lsf="../../src/gcc/lto/lang-specs.h ../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h /bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h echo timestamp > s-specs yes checking whether -lc should be explicitly linked in... rm -f tmp-all-tree.def echo '#include "tree.def"' > tmp-all-tree.def echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def echo '#include "c-family/c-common.def"' >> tmp-all-tree.def ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def /bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def echo timestamp > s-alltree gawk -f ../../src/gcc/gen-pass-instances.awk \ ../../src/gcc/passes.def ../../src/gcc/config/s390/s390-passes.def > pass-instances.def no checking dynamic linker characteristics... rm -f tmp-omp-device-properties.h; \ for kind in kind arch isa; do \ echo 'const char omp_offload_device_'${kind}'[] = ' \ >> tmp-omp-device-properties.h; \ for prop in none ; do \ [ "$prop" = "none" ] && continue; \ tgt=`echo "$prop" | sed 's/=.*$//'`; \ props=`echo "$prop" | sed 's/.*=//'`; \ echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \ sed -n 's/^'${kind}': //p' ${props} \ | sed 's/[[:blank:]]/ /g;s/ */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \ >> tmp-omp-device-properties.h; \ done; \ echo '"";' >> tmp-omp-device-properties.h; \ done; \ /bin/bash ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ omp-device-properties.h echo timestamp > s-omp-device-properties-h echo "s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc " > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.cc GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... no /bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h echo timestamp > s-mlib s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vhdl/grt-cdynload.o -MT vhdl/grt-cdynload.o -MMD -MP -MF vhdl/.deps/grt-cdynload.TPo ../../src/gcc/vhdl/grt-cdynload.cc checking whether we are using the GNU C++ compiler... echo "-- DO NOT EDIT" > tmp-dpaths.ads echo "-- This file is created by Makefile" >> tmp-dpaths.ads yes checking whether s390x-linux-gnu-g++-13 accepts -g... echo "package Default_Paths is" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-nm.cc echo " -- Accept long lines." >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-ranlib.cc echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ /bin/bash ../../src/gcc/mkconfig.sh tconfig.h echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads yes checking dependency style of s390x-linux-gnu-g++-13... suffix=`expr @"/usr/lib/ghdl/gcc/libexec" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/libexec"; fi; \ echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ echo " \"$suffix/gcc/s390x-linux-gnu/13/ghdl1\";" >> tmp-dpaths.ads echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads echo " LibDir_Suffix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads echo " LibGhdlDir_Suffix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc/vhdl\";" >> tmp-dpaths.ads (echo "@set version-GCC 13.2.0"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT echo " LibNativeDir_Suffix : constant String :=" >> tmp-dpaths.ads echo @set srcdir `echo /<>/builddir/gcc/build/gcc/../../src/gcc | sed -e 's|\\([@{}]\\)|@\\1|g'` >> gcc-vers.texiT echo " \"lib/s390x-linux-gnu\";" >> tmp-dpaths.ads gcc3 echo " IncDir_Suffix : constant String :=" >> tmp-dpaths.ads echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads if [ -n "(Ubuntu 13.2.0-21ubuntu1) " ]; then \ echo "@set VERSION_PACKAGE (Ubuntu 13.2.0-21ubuntu1) " >> gcc-vers.texiT; \ fi checking how to run the C++ preprocessor... echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo "@set BUGURL @uref{file:///usr/share/doc/gcc-13/README.Bugs}" >> gcc-vers.texiT echo " \".so\";" >> tmp-dpaths.ads ( \ echo '@set fncpp s390x-linux-gnu-cpp-13'; \ echo '@set fngcc s390x-linux-gnu-gcc-13'; \ echo '@set fngcov s390x-linux-gnu-gcc-13'; \ echo '@set fngcovtool s390x-linux-gnu-gcc-13'; \ echo '@set fngcovdump s390x-linux-gnu-gcc-13'; \ echo '@set fngxx s390x-linux-gnu-g++-13'; \ echo '@set fngccint s390x-linux-gnu-gccint-13'; \ echo '@set fngccinstall s390x-linux-gnu-gccinstall-13'; \ echo '@set fncppint s390x-linux-gnu-cppinternals-13'; \ echo '@set fngfortran s390x-linux-gnu-gfortran-13'; \ echo '@set fngccgo s390x-linux-gnu-gccgo-13'; \ ) >> gcc-vers.texiT echo " Executable_Extension : constant String :=">> tmp-dpaths.ads mv -f gcc-vers.texiT gcc-vers.texi echo " \"\";" >> tmp-dpaths.ads echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads rm -f doc/ghdl.info* echo " Backend_Version : constant String :=" >> tmp-dpaths.ads echo " \"13.2.0\";" >> tmp-dpaths.ads makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi echo "end Default_Paths;" >> tmp-dpaths.ads ../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads echo timestamp > cpp.pod perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-13/README.Bugs}" ../../src/gcc/doc/cpp.texi > cpp.pod s390x-linux-gnu-g++-13 -E echo timestamp > gcc.pod perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod checking for ld used by s390x-linux-gnu-g++-13... s390x-linux-gnu-ld -m elf64_s390 checking if the linker (s390x-linux-gnu-ld -m elf64_s390) is GNU ld... yes checking whether the s390x-linux-gnu-g++-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... yes checking for s390x-linux-gnu-g++-13 option to produce PIC... -fPIC -DPIC checking if s390x-linux-gnu-g++-13 PIC flag -fPIC -DPIC works... yes checking if s390x-linux-gnu-g++-13 static flag -static works... yes checking if s390x-linux-gnu-g++-13 supports -c -o file.o... yes checking if s390x-linux-gnu-g++-13 supports -c -o file.o... (cached) yes checking whether the s390x-linux-gnu-g++-13 linker (s390x-linux-gnu-ld -m elf64_s390) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for CET support... no checking whether basename is declared... yes checking whether s390x-linux-gnu-gcc-13 supports -W... yes checking whether s390x-linux-gnu-gcc-13 supports -Wall... yes checking for s390x-linux-gnu-objdump... /usr/bin/s390x-linux-gnu-objdump checking what objdump to use... /usr/bin/s390x-linux-gnu-objdump checking for socket libraries... checking for connect... yes checking for gethostbyname... yes checking for exported symbols... /bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist yes checking for -rdynamic... echo timestamp > s-options s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.cc yes checking for library containing dlopen... s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/sort.o ../../src/gcc/sort.cc none required checking for -fPIC -shared... yes checking for socketpair... yes checking for select... yes checking for fork... yes ghdl.texi:7257: warning: @footnote should not appear on @deffn line ghdl.texi:7350: warning: @footnote should not appear on @deffn line ghdl.texi:7364: warning: @footnote should not appear on @deffn line ghdl.texi:7371: warning: @footnote should not appear on @deffn line ghdl.texi:7392: warning: @footnote should not appear on @deffn line ghdl.texi:7399: warning: @footnote should not appear on @deffn line ghdl.texi:7983: warning: @footnote should not appear on @deffn line ghdl.texi:8058: warning: @footnote should not appear on @deffn line ghdl.texi:8133: warning: @footnote should not appear on @deffn line ghdl.texi:8208: warning: @footnote should not appear on @deffn line ghdl.texi:8277: warning: @footnote should not appear on @deffn line ghdl.texi:8409: warning: @footnote should not appear on @deffn line ghdl.texi:8409: warning: @footnote should not appear on @deffn line ghdl.texi:8420: warning: @footnote should not appear on @deffn line ghdl.texi:8431: warning: @footnote should not appear on @deffn line ghdl.texi:8442: warning: @footnote should not appear on @deffn line ghdl.texi:8545: warning: @footnote should not appear on @deffn line ghdl.texi:8545: warning: @footnote should not appear on @deffn line ghdl.texi:8556: warning: @footnote should not appear on @deffn line ghdl.texi:8758: warning: @footnote should not appear on @deffn line ghdl.texi:8829: warning: @footnote should not appear on @deffn line ghdl.texi:8900: warning: @footnote should not appear on @deffn line ghdl.texi:8983: warning: @footnote should not appear on @deffn line ghdl.texi:8983: warning: @footnote should not appear on @deffn line ghdl.texi:8994: warning: @footnote should not appear on @deffn line ghdl.texi:8994: warning: @footnote should not appear on @deffn line ghdl.texi:9005: warning: @footnote should not appear on @deffn line ghdl.texi:9101: warning: @footnote should not appear on @deffn line ghdl.texi:9101: warning: @footnote should not appear on @deffn line ghdl.texi:9112: warning: @footnote should not appear on @deffn line ghdl.texi:9112: warning: @footnote should not appear on @deffn line ghdl.texi:9123: warning: @footnote should not appear on @deffn line ghdl.texi:9213: warning: @footnote should not appear on @deffn line ghdl.texi:9213: warning: @footnote should not appear on @deffn line ghdl.texi:9224: warning: @footnote should not appear on @deffn line ghdl.texi:9224: warning: @footnote should not appear on @deffn line ghdl.texi:9235: warning: @footnote should not appear on @deffn line ghdl.texi:9319: warning: @footnote should not appear on @deffn line ghdl.texi:9319: warning: @footnote should not appear on @deffn line ghdl.texi:9330: warning: @footnote should not appear on @deffn line ghdl.texi:9330: warning: @footnote should not appear on @deffn line ghdl.texi:9341: warning: @footnote should not appear on @deffn line ghdl.texi:9341: warning: @footnote should not appear on @deffn line ghdl.texi:9352: warning: @footnote should not appear on @deffn line ghdl.texi:9449: warning: @footnote should not appear on @deffn line ghdl.texi:9449: warning: @footnote should not appear on @deffn line ghdl.texi:9460: warning: @footnote should not appear on @deffn line ghdl.texi:9460: warning: @footnote should not appear on @deffn line ghdl.texi:9471: warning: @footnote should not appear on @deffn line ghdl.texi:9471: warning: @footnote should not appear on @deffn line ghdl.texi:9482: warning: @footnote should not appear on @deffn line ghdl.texi:9579: warning: @footnote should not appear on @deffn line ghdl.texi:9655: warning: @footnote should not appear on @deffn line ghdl.texi:9725: warning: @footnote should not appear on @deffn line ghdl.texi:9801: warning: @footnote should not appear on @deffn line ghdl.texi:9801: warning: @footnote should not appear on @deffn line ghdl.texi:9812: warning: @footnote should not appear on @deffn line ghdl.texi:9812: warning: @footnote should not appear on @deffn line ghdl.texi:9823: warning: @footnote should not appear on @deffn line ghdl.texi:9895: warning: @footnote should not appear on @deffn line ghdl.texi:9964: warning: @footnote should not appear on @deffn line ghdl.texi:10051: warning: @footnote should not appear on @deffn line ghdl.texi:10051: warning: @footnote should not appear on @deffn line ghdl.texi:10062: warning: @footnote should not appear on @deffn line ghdl.texi:10062: warning: @footnote should not appear on @deffn line ghdl.texi:10073: warning: @footnote should not appear on @deffn line ghdl.texi:10168: warning: @footnote should not appear on @deffn line ghdl.texi:10168: warning: @footnote should not appear on @deffn line ghdl.texi:10179: warning: @footnote should not appear on @deffn line ghdl.texi:10179: warning: @footnote should not appear on @deffn line ghdl.texi:10190: warning: @footnote should not appear on @deffn line ghdl.texi:10285: warning: @footnote should not appear on @deffn line ghdl.texi:10285: warning: @footnote should not appear on @deffn line ghdl.texi:10296: warning: @footnote should not appear on @deffn line ghdl.texi:10296: warning: @footnote should not appear on @deffn line ghdl.texi:10307: warning: @footnote should not appear on @deffn line ghdl.texi:10403: warning: @footnote should not appear on @deffn line ghdl.texi:10403: warning: @footnote should not appear on @deffn line ghdl.texi:10414: warning: @footnote should not appear on @deffn line ghdl.texi:10414: warning: @footnote should not appear on @deffn line ghdl.texi:10425: warning: @footnote should not appear on @deffn line ghdl.texi:10503: warning: @footnote should not appear on @deffn line ghdl.texi:10573: warning: @footnote should not appear on @deffn line ghdl.texi:10573: warning: @footnote should not appear on @deffn line ghdl.texi:10584: warning: @footnote should not appear on @deffn line ghdl.texi:10584: warning: @footnote should not appear on @deffn line ghdl.texi:10595: warning: @footnote should not appear on @deffn line ghdl.texi:10679: warning: @footnote should not appear on @deffn line ghdl.texi:10679: warning: @footnote should not appear on @deffn line ghdl.texi:10690: warning: @footnote should not appear on @deffn line ghdl.texi:10690: warning: @footnote should not appear on @deffn line ghdl.texi:10701: warning: @footnote should not appear on @deffn line ghdl.texi:10791: warning: @footnote should not appear on @deffn line ghdl.texi:10791: warning: @footnote should not appear on @deffn line ghdl.texi:10802: warning: @footnote should not appear on @deffn line ghdl.texi:10802: warning: @footnote should not appear on @deffn line ghdl.texi:10813: warning: @footnote should not appear on @deffn line ghdl.texi:10977: warning: @footnote should not appear on @deffn line ghdl.texi:11035: warning: @footnote should not appear on @deffn line ghdl.texi:11093: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11199: warning: @footnote should not appear on @deffn line ghdl.texi:11199: warning: @footnote should not appear on @deffn line ghdl.texi:11210: warning: @footnote should not appear on @deffn line ghdl.texi:11210: warning: @footnote should not appear on @deffn line ghdl.texi:11221: warning: @footnote should not appear on @deffn line ghdl.texi:11232: warning: @footnote should not appear on @deffn line ghdl.texi:11232: warning: @footnote should not appear on @deffn line ghdl.texi:11243: warning: @footnote should not appear on @deffn line ghdl.texi:11254: warning: @footnote should not appear on @deffn line ghdl.texi:11254: warning: @footnote should not appear on @deffn line ghdl.texi:11265: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11478: warning: @footnote should not appear on @deffn line ghdl.texi:11478: warning: @footnote should not appear on @deffn line ghdl.texi:11489: warning: @footnote should not appear on @deffn line ghdl.texi:11489: warning: @footnote should not appear on @deffn line ghdl.texi:11500: warning: @footnote should not appear on @deffn line ghdl.texi:11511: warning: @footnote should not appear on @deffn line ghdl.texi:11511: warning: @footnote should not appear on @deffn line ghdl.texi:11522: warning: @footnote should not appear on @deffn line ghdl.texi:11533: warning: @footnote should not appear on @deffn line ghdl.texi:11533: warning: @footnote should not appear on @deffn line ghdl.texi:11544: warning: @footnote should not appear on @deffn line ghdl.texi:11733: warning: @footnote should not appear on @deffn line ghdl.texi:11733: warning: @footnote should not appear on @deffn line ghdl.texi:11744: warning: @footnote should not appear on @deffn line ghdl.texi:11755: warning: @footnote should not appear on @deffn line ghdl.texi:11766: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11881: warning: @footnote should not appear on @deffn line ghdl.texi:11881: warning: @footnote should not appear on @deffn line ghdl.texi:11892: warning: @footnote should not appear on @deffn line ghdl.texi:11892: warning: @footnote should not appear on @deffn line ghdl.texi:11903: warning: @footnote should not appear on @deffn line ghdl.texi:11914: warning: @footnote should not appear on @deffn line ghdl.texi:11914: warning: @footnote should not appear on @deffn line ghdl.texi:11925: warning: @footnote should not appear on @deffn line ghdl.texi:11936: warning: @footnote should not appear on @deffn line ghdl.texi:11936: warning: @footnote should not appear on @deffn line ghdl.texi:11947: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12151: warning: @footnote should not appear on @deffn line ghdl.texi:12151: warning: @footnote should not appear on @deffn line ghdl.texi:12162: warning: @footnote should not appear on @deffn line ghdl.texi:12162: warning: @footnote should not appear on @deffn line ghdl.texi:12173: warning: @footnote should not appear on @deffn line ghdl.texi:12184: warning: @footnote should not appear on @deffn line ghdl.texi:12184: warning: @footnote should not appear on @deffn line ghdl.texi:12195: warning: @footnote should not appear on @deffn line ghdl.texi:12206: warning: @footnote should not appear on @deffn line ghdl.texi:12206: warning: @footnote should not appear on @deffn line ghdl.texi:12217: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12415: warning: @footnote should not appear on @deffn line ghdl.texi:12415: warning: @footnote should not appear on @deffn line ghdl.texi:12426: warning: @footnote should not appear on @deffn line ghdl.texi:12426: warning: @footnote should not appear on @deffn line ghdl.texi:12437: warning: @footnote should not appear on @deffn line ghdl.texi:12448: warning: @footnote should not appear on @deffn line ghdl.texi:12448: warning: @footnote should not appear on @deffn line ghdl.texi:12459: warning: @footnote should not appear on @deffn line ghdl.texi:12470: warning: @footnote should not appear on @deffn line ghdl.texi:12470: warning: @footnote should not appear on @deffn line ghdl.texi:12481: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12657: warning: @footnote should not appear on @deffn line ghdl.texi:12657: warning: @footnote should not appear on @deffn line ghdl.texi:12668: warning: @footnote should not appear on @deffn line ghdl.texi:12668: warning: @footnote should not appear on @deffn line ghdl.texi:12679: warning: @footnote should not appear on @deffn line ghdl.texi:12690: warning: @footnote should not appear on @deffn line ghdl.texi:12690: warning: @footnote should not appear on @deffn line ghdl.texi:12701: warning: @footnote should not appear on @deffn line ghdl.texi:12712: warning: @footnote should not appear on @deffn line ghdl.texi:12712: warning: @footnote should not appear on @deffn line ghdl.texi:12723: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12903: warning: @footnote should not appear on @deffn line ghdl.texi:12903: warning: @footnote should not appear on @deffn line ghdl.texi:12914: warning: @footnote should not appear on @deffn line ghdl.texi:12914: warning: @footnote should not appear on @deffn line ghdl.texi:12925: warning: @footnote should not appear on @deffn line ghdl.texi:12936: warning: @footnote should not appear on @deffn line ghdl.texi:12936: warning: @footnote should not appear on @deffn line ghdl.texi:12947: warning: @footnote should not appear on @deffn line ghdl.texi:12958: warning: @footnote should not appear on @deffn line ghdl.texi:12958: warning: @footnote should not appear on @deffn line ghdl.texi:12969: warning: @footnote should not appear on @deffn line ghdl.texi:13363: warning: @footnote should not appear on @deffn line ghdl.texi:13432: warning: @footnote should not appear on @deffn line ghdl.texi:13501: warning: @footnote should not appear on @deffn line ghdl.texi:13570: warning: @footnote should not appear on @deffn line ghdl.texi:13639: warning: @footnote should not appear on @deffn line ghdl.texi:13708: warning: @footnote should not appear on @deffn line ghdl.texi:13777: warning: @footnote should not appear on @deffn line ghdl.texi:13841: warning: @footnote should not appear on @deffn line ghdl.texi:13916: warning: @footnote should not appear on @deffn line ghdl.texi:13991: warning: @footnote should not appear on @deffn line ghdl.texi:14066: warning: @footnote should not appear on @deffn line ghdl.texi:14141: warning: @footnote should not appear on @deffn line ghdl.texi:14216: warning: @footnote should not appear on @deffn line ghdl.texi:14291: warning: @footnote should not appear on @deffn line ghdl.texi:14366: warning: @footnote should not appear on @deffn line ghdl.texi:14441: warning: @footnote should not appear on @deffn line ghdl.texi:14516: warning: @footnote should not appear on @deffn line ghdl.texi:14591: warning: @footnote should not appear on @deffn line ghdl.texi:14666: warning: @footnote should not appear on @deffn line ghdl.texi:14741: warning: @footnote should not appear on @deffn line ghdl.texi:14816: warning: @footnote should not appear on @deffn line ghdl.texi:14891: warning: @footnote should not appear on @deffn line ghdl.texi:14966: warning: @footnote should not appear on @deffn line ghdl.texi:15041: warning: @footnote should not appear on @deffn line ghdl.texi:15116: warning: @footnote should not appear on @deffn line ghdl.texi:15191: warning: @footnote should not appear on @deffn line ghdl.texi:15266: warning: @footnote should not appear on @deffn line ghdl.texi:15341: warning: @footnote should not appear on @deffn line ghdl.texi:15416: warning: @footnote should not appear on @deffn line ghdl.texi:15491: warning: @footnote should not appear on @deffn line ghdl.texi:15566: warning: @footnote should not appear on @deffn line ghdl.texi:15641: warning: @footnote should not appear on @deffn line ghdl.texi:15716: warning: @footnote should not appear on @deffn line ghdl.texi:15791: warning: @footnote should not appear on @deffn line ghdl.texi:15866: warning: @footnote should not appear on @deffn line ghdl.texi:15941: warning: @footnote should not appear on @deffn line ghdl.texi:16016: warning: @footnote should not appear on @deffn line ghdl.texi:16091: warning: @footnote should not appear on @deffn line ghdl.texi:16166: warning: @footnote should not appear on @deffn line ghdl.texi:16241: warning: @footnote should not appear on @deffn line ghdl.texi:16316: warning: @footnote should not appear on @deffn line ghdl.texi:16391: warning: @footnote should not appear on @deffn line ghdl.texi:16460: warning: @footnote should not appear on @deffn line ghdl.texi:16529: warning: @footnote should not appear on @deffn line ghdl.texi:16598: warning: @footnote should not appear on @deffn line ghdl.texi:16774: warning: @footnote should not appear on @deffn line ghdl.texi:16774: warning: @footnote should not appear on @deffn line ghdl.texi:16785: warning: @footnote should not appear on @deffn line ghdl.texi:16785: warning: @footnote should not appear on @deffn line ghdl.texi:16796: warning: @footnote should not appear on @deffn line ghdl.texi:16796: warning: @footnote should not appear on @deffn line ghdl.texi:16807: warning: @footnote should not appear on @deffn line ghdl.texi:16892: warning: @footnote should not appear on @deffn line ghdl.texi:16892: warning: @footnote should not appear on @deffn line ghdl.texi:16903: warning: @footnote should not appear on @deffn line ghdl.texi:16914: warning: @footnote should not appear on @deffn line ghdl.texi:16925: warning: @footnote should not appear on @deffn line ghdl.texi:17003: warning: @footnote should not appear on @deffn line ghdl.texi:17003: warning: @footnote should not appear on @deffn line ghdl.texi:17073: warning: @footnote should not appear on @deffn line ghdl.texi:17073: warning: @footnote should not appear on @deffn line ghdl.texi:17084: warning: @footnote should not appear on @deffn line ghdl.texi:17095: warning: @footnote should not appear on @deffn line ghdl.texi:17106: warning: @footnote should not appear on @deffn line ghdl.texi:17190: warning: @footnote should not appear on @deffn line ghdl.texi:17190: warning: @footnote should not appear on @deffn line ghdl.texi:17201: warning: @footnote should not appear on @deffn line ghdl.texi:17212: warning: @footnote should not appear on @deffn line ghdl.texi:17223: warning: @footnote should not appear on @deffn line ghdl.texi:17326: warning: @footnote should not appear on @deffn line ghdl.texi:17326: warning: @footnote should not appear on @deffn line ghdl.texi:17337: warning: @footnote should not appear on @deffn line ghdl.texi:17337: warning: @footnote should not appear on @deffn line ghdl.texi:17348: warning: @footnote should not appear on @deffn line ghdl.texi:17348: warning: @footnote should not appear on @deffn line ghdl.texi:17359: warning: @footnote should not appear on @deffn line ghdl.texi:17462: warning: @footnote should not appear on @deffn line ghdl.texi:17462: warning: @footnote should not appear on @deffn line ghdl.texi:17473: warning: @footnote should not appear on @deffn line ghdl.texi:17473: warning: @footnote should not appear on @deffn line ghdl.texi:17484: warning: @footnote should not appear on @deffn line ghdl.texi:17484: warning: @footnote should not appear on @deffn line ghdl.texi:17495: warning: @footnote should not appear on @deffn line ghdl.texi:17598: warning: @footnote should not appear on @deffn line ghdl.texi:17598: warning: @footnote should not appear on @deffn line ghdl.texi:17609: warning: @footnote should not appear on @deffn line ghdl.texi:17609: warning: @footnote should not appear on @deffn line ghdl.texi:17620: warning: @footnote should not appear on @deffn line ghdl.texi:17620: warning: @footnote should not appear on @deffn line ghdl.texi:17631: warning: @footnote should not appear on @deffn line ghdl.texi:17734: warning: @footnote should not appear on @deffn line ghdl.texi:17734: warning: @footnote should not appear on @deffn line ghdl.texi:17745: warning: @footnote should not appear on @deffn line ghdl.texi:17745: warning: @footnote should not appear on @deffn line ghdl.texi:17756: warning: @footnote should not appear on @deffn line ghdl.texi:17756: warning: @footnote should not appear on @deffn line ghdl.texi:17767: warning: @footnote should not appear on @deffn line ghdl.texi:17858: warning: @footnote should not appear on @deffn line ghdl.texi:17858: warning: @footnote should not appear on @deffn line ghdl.texi:17869: warning: @footnote should not appear on @deffn line ghdl.texi:17869: warning: @footnote should not appear on @deffn line ghdl.texi:17880: warning: @footnote should not appear on @deffn line ghdl.texi:17880: warning: @footnote should not appear on @deffn line ghdl.texi:17891: warning: @footnote should not appear on @deffn line ghdl.texi:18029: warning: @footnote should not appear on @deffn line ghdl.texi:18098: warning: @footnote should not appear on @deffn line ghdl.texi:18167: warning: @footnote should not appear on @deffn line ghdl.texi:18236: warning: @footnote should not appear on @deffn line ghdl.texi:18311: warning: @footnote should not appear on @deffn line ghdl.texi:18386: warning: @footnote should not appear on @deffn line ghdl.texi:18455: warning: @footnote should not appear on @deffn line ghdl.texi:18524: warning: @footnote should not appear on @deffn line ghdl.texi:18655: warning: @footnote should not appear on @deffn line ghdl.texi:18655: warning: @footnote should not appear on @deffn line ghdl.texi:18666: warning: @footnote should not appear on @deffn line ghdl.texi:18677: warning: @footnote should not appear on @deffn line ghdl.texi:18688: warning: @footnote should not appear on @deffn line ghdl.texi:18842: warning: @footnote should not appear on @deffn line ghdl.texi:18855: warning: @footnote should not appear on @deffn line ghdl.texi:18866: warning: @footnote should not appear on @deffn line ghdl.texi:18877: warning: @footnote should not appear on @deffn line ghdl.texi:18888: warning: @footnote should not appear on @deffn line ghdl.texi:18888: warning: @footnote should not appear on @deffn line ghdl.texi:18899: warning: @footnote should not appear on @deffn line ghdl.texi:18987: warning: @footnote should not appear on @deffn line ghdl.texi:19000: warning: @footnote should not appear on @deffn line ghdl.texi:19011: warning: @footnote should not appear on @deffn line ghdl.texi:19022: warning: @footnote should not appear on @deffn line ghdl.texi:19033: warning: @footnote should not appear on @deffn line ghdl.texi:19033: warning: @footnote should not appear on @deffn line ghdl.texi:19044: warning: @footnote should not appear on @deffn line ghdl.texi:19126: warning: @footnote should not appear on @deffn line ghdl.texi:19139: warning: @footnote should not appear on @deffn line ghdl.texi:19150: warning: @footnote should not appear on @deffn line ghdl.texi:19161: warning: @footnote should not appear on @deffn line ghdl.texi:19172: warning: @footnote should not appear on @deffn line ghdl.texi:19172: warning: @footnote should not appear on @deffn line ghdl.texi:19183: warning: @footnote should not appear on @deffn line ghdl.texi:19265: warning: @footnote should not appear on @deffn line ghdl.texi:19278: warning: @footnote should not appear on @deffn line ghdl.texi:19289: warning: @footnote should not appear on @deffn line ghdl.texi:19300: warning: @footnote should not appear on @deffn line ghdl.texi:19311: warning: @footnote should not appear on @deffn line ghdl.texi:19311: warning: @footnote should not appear on @deffn line ghdl.texi:19322: warning: @footnote should not appear on @deffn line ghdl.texi:19410: warning: @footnote should not appear on @deffn line ghdl.texi:19423: warning: @footnote should not appear on @deffn line ghdl.texi:19434: warning: @footnote should not appear on @deffn line ghdl.texi:19445: warning: @footnote should not appear on @deffn line ghdl.texi:19456: warning: @footnote should not appear on @deffn line ghdl.texi:19456: warning: @footnote should not appear on @deffn line ghdl.texi:19467: warning: @footnote should not appear on @deffn line ghdl.texi:19555: warning: @footnote should not appear on @deffn line ghdl.texi:19568: warning: @footnote should not appear on @deffn line ghdl.texi:19579: warning: @footnote should not appear on @deffn line ghdl.texi:19590: warning: @footnote should not appear on @deffn line ghdl.texi:19601: warning: @footnote should not appear on @deffn line ghdl.texi:19601: warning: @footnote should not appear on @deffn line ghdl.texi:19612: warning: @footnote should not appear on @deffn line ghdl.texi:19693: warning: @footnote should not appear on @deffn line ghdl.texi:19706: warning: @footnote should not appear on @deffn line ghdl.texi:19717: warning: @footnote should not appear on @deffn line ghdl.texi:19728: warning: @footnote should not appear on @deffn line ghdl.texi:19739: warning: @footnote should not appear on @deffn line ghdl.texi:19739: warning: @footnote should not appear on @deffn line ghdl.texi:19750: warning: @footnote should not appear on @deffn line ghdl.texi:19816: warning: @footnote should not appear on @deffn line ghdl.texi:19829: warning: @footnote should not appear on @deffn line ghdl.texi:19840: warning: @footnote should not appear on @deffn line ghdl.texi:19851: warning: @footnote should not appear on @deffn line ghdl.texi:19862: warning: @footnote should not appear on @deffn line ghdl.texi:19862: warning: @footnote should not appear on @deffn line ghdl.texi:19873: warning: @footnote should not appear on @deffn line ghdl.texi:20007: warning: @footnote should not appear on @deffn line ghdl.texi:20007: warning: @footnote should not appear on @deffn line ghdl.texi:20014: warning: @footnote should not appear on @deffn line ghdl.texi:20014: warning: @footnote should not appear on @deffn line ghdl.texi:20014: warning: @footnote should not appear on @deffn line ghdl.texi:20021: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20130: warning: @footnote should not appear on @deffn line ghdl.texi:20130: warning: @footnote should not appear on @deffn line ghdl.texi:20130: warning: @footnote should not appear on @deffn line ghdl.texi:20137: warning: @footnote should not appear on @deffn line ghdl.texi:20137: warning: @footnote should not appear on @deffn line ghdl.texi:20137: warning: @footnote should not appear on @deffn line ghdl.texi:20144: warning: @footnote should not appear on @deffn line ghdl.texi:20144: warning: @footnote should not appear on @deffn line ghdl.texi:20144: warning: @footnote should not appear on @deffn line ghdl.texi:20151: warning: @footnote should not appear on @deffn line ghdl.texi:20162: warning: @footnote should not appear on @deffn line ghdl.texi:20173: warning: @footnote should not appear on @deffn line ghdl.texi:20173: warning: @footnote should not appear on @deffn line ghdl.texi:20173: warning: @footnote should not appear on @deffn line ghdl.texi:20180: warning: @footnote should not appear on @deffn line ghdl.texi:20180: warning: @footnote should not appear on @deffn line ghdl.texi:20180: warning: @footnote should not appear on @deffn line ghdl.texi:20187: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20359: warning: @footnote should not appear on @deffn line ghdl.texi:20359: warning: @footnote should not appear on @deffn line ghdl.texi:20359: warning: @footnote should not appear on @deffn line ghdl.texi:20366: warning: @footnote should not appear on @deffn line ghdl.texi:20366: warning: @footnote should not appear on @deffn line ghdl.texi:20366: warning: @footnote should not appear on @deffn line ghdl.texi:20373: warning: @footnote should not appear on @deffn line ghdl.texi:20373: warning: @footnote should not appear on @deffn line ghdl.texi:20380: warning: @footnote should not appear on @deffn line ghdl.texi:20380: warning: @footnote should not appear on @deffn line ghdl.texi:20391: warning: @footnote should not appear on @deffn line ghdl.texi:20391: warning: @footnote should not appear on @deffn line ghdl.texi:20391: warning: @footnote should not appear on @deffn line ghdl.texi:20398: warning: @footnote should not appear on @deffn line ghdl.texi:20398: warning: @footnote should not appear on @deffn line ghdl.texi:20398: warning: @footnote should not appear on @deffn line ghdl.texi:20405: warning: @footnote should not appear on @deffn line ghdl.texi:20405: warning: @footnote should not appear on @deffn line ghdl.texi:20405: warning: @footnote should not appear on @deffn line ghdl.texi:20412: warning: @footnote should not appear on @deffn line ghdl.texi:20423: warning: @footnote should not appear on @deffn line ghdl.texi:20423: warning: @footnote should not appear on @deffn line ghdl.texi:20423: warning: @footnote should not appear on @deffn line ghdl.texi:20430: warning: @footnote should not appear on @deffn line ghdl.texi:20430: warning: @footnote should not appear on @deffn line ghdl.texi:20430: warning: @footnote should not appear on @deffn line ghdl.texi:20437: warning: @footnote should not appear on @deffn line ghdl.texi:20437: warning: @footnote should not appear on @deffn line ghdl.texi:20437: warning: @footnote should not appear on @deffn line ghdl.texi:20682: warning: @footnote should not appear on @deffn line ghdl.texi:20682: warning: @footnote should not appear on @deffn line ghdl.texi:20693: warning: @footnote should not appear on @deffn line ghdl.texi:20693: warning: @footnote should not appear on @deffn line ghdl.texi:20704: warning: @footnote should not appear on @deffn line ghdl.texi:20704: warning: @footnote should not appear on @deffn line ghdl.texi:20715: warning: @footnote should not appear on @deffn line ghdl.texi:20806: warning: @footnote should not appear on @deffn line ghdl.texi:20806: warning: @footnote should not appear on @deffn line ghdl.texi:20817: warning: @footnote should not appear on @deffn line ghdl.texi:20817: warning: @footnote should not appear on @deffn line ghdl.texi:20828: warning: @footnote should not appear on @deffn line ghdl.texi:20828: warning: @footnote should not appear on @deffn line ghdl.texi:20839: warning: @footnote should not appear on @deffn line ghdl.texi:20936: warning: @footnote should not appear on @deffn line ghdl.texi:20936: warning: @footnote should not appear on @deffn line ghdl.texi:20947: warning: @footnote should not appear on @deffn line ghdl.texi:20947: warning: @footnote should not appear on @deffn line ghdl.texi:20958: warning: @footnote should not appear on @deffn line ghdl.texi:20958: warning: @footnote should not appear on @deffn line ghdl.texi:20969: warning: @footnote should not appear on @deffn line ghdl.texi:21060: warning: @footnote should not appear on @deffn line configure: updating cache ./config.cache ghdl.texi:21060: warning: @footnote should not appear on @deffn line ghdl.texi:21071: warning: @footnote should not appear on @deffn line ghdl.texi:21071: warning: @footnote should not appear on @deffn line ghdl.texi:21082: warning: @footnote should not appear on @deffn line ghdl.texi:21082: warning: @footnote should not appear on @deffn line ghdl.texi:21093: warning: @footnote should not appear on @deffn line ghdl.texi:21190: warning: @footnote should not appear on @deffn line ghdl.texi:21190: warning: @footnote should not appear on @deffn line ghdl.texi:21201: warning: @footnote should not appear on @deffn line ghdl.texi:21201: warning: @footnote should not appear on @deffn line ghdl.texi:21212: warning: @footnote should not appear on @deffn line ghdl.texi:21212: warning: @footnote should not appear on @deffn line ghdl.texi:21223: warning: @footnote should not appear on @deffn line ghdl.texi:21314: warning: @footnote should not appear on @deffn line ghdl.texi:21314: warning: @footnote should not appear on @deffn line ghdl.texi:21325: warning: @footnote should not appear on @deffn line ghdl.texi:21325: warning: @footnote should not appear on @deffn line ghdl.texi:21336: warning: @footnote should not appear on @deffn line ghdl.texi:21336: warning: @footnote should not appear on @deffn line ghdl.texi:21347: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21508: warning: @footnote should not appear on @deffn line ghdl.texi:21508: warning: @footnote should not appear on @deffn line ghdl.texi:21519: warning: @footnote should not appear on @deffn line ghdl.texi:21519: warning: @footnote should not appear on @deffn line ghdl.texi:21530: warning: @footnote should not appear on @deffn line ghdl.texi:21541: warning: @footnote should not appear on @deffn line ghdl.texi:21541: warning: @footnote should not appear on @deffn line ghdl.texi:21552: warning: @footnote should not appear on @deffn line ghdl.texi:21563: warning: @footnote should not appear on @deffn line ghdl.texi:21563: warning: @footnote should not appear on @deffn line ghdl.texi:21574: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21741: warning: @footnote should not appear on @deffn line ghdl.texi:21741: warning: @footnote should not appear on @deffn line ghdl.texi:21752: warning: @footnote should not appear on @deffn line ghdl.texi:21752: warning: @footnote should not appear on @deffn line ghdl.texi:21763: warning: @footnote should not appear on @deffn line ghdl.texi:21774: warning: @footnote should not appear on @deffn line ghdl.texi:21774: warning: @footnote should not appear on @deffn line ghdl.texi:21785: warning: @footnote should not appear on @deffn line ghdl.texi:21796: warning: @footnote should not appear on @deffn line ghdl.texi:21796: warning: @footnote should not appear on @deffn line ghdl.texi:21807: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21974: warning: @footnote should not appear on @deffn line ghdl.texi:21974: warning: @footnote should not appear on @deffn line ghdl.texi:21985: warning: @footnote should not appear on @deffn line ghdl.texi:21985: warning: @footnote should not appear on @deffn line ghdl.texi:21996: warning: @footnote should not appear on @deffn line ghdl.texi:22007: warning: @footnote should not appear on @deffn line ghdl.texi:22007: warning: @footnote should not appear on @deffn line ghdl.texi:22018: warning: @footnote should not appear on @deffn line ghdl.texi:22029: warning: @footnote should not appear on @deffn line ghdl.texi:22029: warning: @footnote should not appear on @deffn line ghdl.texi:22040: warning: @footnote should not appear on @deffn line ghdl.texi:22189: warning: @footnote should not appear on @deffn line ghdl.texi:22200: warning: @footnote should not appear on @deffn line ghdl.texi:22211: warning: @footnote should not appear on @deffn line ghdl.texi:22314: warning: @footnote should not appear on @deffn line ghdl.texi:22522: warning: @footnote should not appear on @deffn line ghdl.texi:22586: warning: @footnote should not appear on @deffn line ghdl.texi:22644: warning: @footnote should not appear on @deffn line ghdl.texi:22720: warning: @footnote should not appear on @deffn line ghdl.texi:22720: warning: @footnote should not appear on @deffn line ghdl.texi:22731: warning: @footnote should not appear on @deffn line ghdl.texi:22731: warning: @footnote should not appear on @deffn line ghdl.texi:22742: warning: @footnote should not appear on @deffn line ghdl.texi:22814: warning: @footnote should not appear on @deffn line ghdl.texi:22883: warning: @footnote should not appear on @deffn line ghdl.texi:22958: warning: @footnote should not appear on @deffn line ghdl.texi:23027: warning: @footnote should not appear on @deffn line ghdl.texi:23108: warning: @footnote should not appear on @deffn line ghdl.texi:23108: warning: @footnote should not appear on @deffn line ghdl.texi:23119: warning: @footnote should not appear on @deffn line ghdl.texi:23119: warning: @footnote should not appear on @deffn line ghdl.texi:23130: warning: @footnote should not appear on @deffn line ghdl.texi:23220: warning: @footnote should not appear on @deffn line ghdl.texi:23220: warning: @footnote should not appear on @deffn line ghdl.texi:23231: warning: @footnote should not appear on @deffn line ghdl.texi:23231: warning: @footnote should not appear on @deffn line ghdl.texi:23242: warning: @footnote should not appear on @deffn line ghdl.texi:23326: warning: @footnote should not appear on @deffn line ghdl.texi:23326: warning: @footnote should not appear on @deffn line ghdl.texi:23337: warning: @footnote should not appear on @deffn line ghdl.texi:23337: warning: @footnote should not appear on @deffn line ghdl.texi:23348: warning: @footnote should not appear on @deffn line ghdl.texi:23432: warning: @footnote should not appear on @deffn line ghdl.texi:23432: warning: @footnote should not appear on @deffn line ghdl.texi:23443: warning: @footnote should not appear on @deffn line ghdl.texi:23443: warning: @footnote should not appear on @deffn line ghdl.texi:23454: warning: @footnote should not appear on @deffn line ghdl.texi:23544: warning: @footnote should not appear on @deffn line ghdl.texi:23544: warning: @footnote should not appear on @deffn line ghdl.texi:23555: warning: @footnote should not appear on @deffn line ghdl.texi:23555: warning: @footnote should not appear on @deffn line ghdl.texi:23566: warning: @footnote should not appear on @deffn line ghdl.texi:23650: warning: @footnote should not appear on @deffn line ghdl.texi:23650: warning: @footnote should not appear on @deffn line ghdl.texi:23661: warning: @footnote should not appear on @deffn line ghdl.texi:23661: warning: @footnote should not appear on @deffn line ghdl.texi:23672: warning: @footnote should not appear on @deffn line ghdl.texi:23744: warning: @footnote should not appear on @deffn line ghdl.texi:23744: warning: @footnote should not appear on @deffn line ghdl.texi:23755: warning: @footnote should not appear on @deffn line ghdl.texi:23755: warning: @footnote should not appear on @deffn line ghdl.texi:23766: warning: @footnote should not appear on @deffn line ghdl.texi:23837: warning: @footnote should not appear on @deffn line ghdl.texi:23837: warning: @footnote should not appear on @deffn line ghdl.texi:23848: warning: @footnote should not appear on @deffn line ghdl.texi:23848: warning: @footnote should not appear on @deffn line ghdl.texi:23859: warning: @footnote should not appear on @deffn line ghdl.texi:23949: warning: @footnote should not appear on @deffn line ghdl.texi:23949: warning: @footnote should not appear on @deffn line ghdl.texi:23960: warning: @footnote should not appear on @deffn line ghdl.texi:23960: warning: @footnote should not appear on @deffn line ghdl.texi:23971: warning: @footnote should not appear on @deffn line ghdl.texi:24117: warning: @footnote should not appear on @deffn line ghdl.texi:24117: warning: @footnote should not appear on @deffn line ghdl.texi:24128: warning: @footnote should not appear on @deffn line ghdl.texi:24139: warning: @footnote should not appear on @deffn line ghdl.texi:24150: warning: @footnote should not appear on @deffn line ghdl.texi:24246: warning: @footnote should not appear on @deffn line ghdl.texi:24246: warning: @footnote should not appear on @deffn line ghdl.texi:24257: warning: @footnote should not appear on @deffn line ghdl.texi:24268: warning: @footnote should not appear on @deffn line ghdl.texi:24279: warning: @footnote should not appear on @deffn line ghdl.texi:25512: warning: @footnote should not appear on @deffn line ghdl.texi:25512: warning: @footnote should not appear on @deffn line ghdl.texi:25523: warning: @footnote should not appear on @deffn line ghdl.texi:25534: warning: @footnote should not appear on @deffn line ghdl.texi:25545: warning: @footnote should not appear on @deffn line ghdl.texi:25630: warning: @footnote should not appear on @deffn line ghdl.texi:25630: warning: @footnote should not appear on @deffn line ghdl.texi:25641: warning: @footnote should not appear on @deffn line ghdl.texi:25652: warning: @footnote should not appear on @deffn line ghdl.texi:25663: warning: @footnote should not appear on @deffn line ghdl.texi:25751: warning: @footnote should not appear on @deffn line ghdl.texi:25751: warning: @footnote should not appear on @deffn line ghdl.texi:25762: warning: @footnote should not appear on @deffn line ghdl.texi:25773: warning: @footnote should not appear on @deffn line ghdl.texi:25784: warning: @footnote should not appear on @deffn line ghdl.texi:25884: warning: @footnote should not appear on @deffn line ghdl.texi:25884: warning: @footnote should not appear on @deffn line ghdl.texi:25895: warning: @footnote should not appear on @deffn line ghdl.texi:25906: warning: @footnote should not appear on @deffn line ghdl.texi:25917: warning: @footnote should not appear on @deffn line ghdl.texi:26008: warning: @footnote should not appear on @deffn line ghdl.texi:26008: warning: @footnote should not appear on @deffn line ghdl.texi:26019: warning: @footnote should not appear on @deffn line ghdl.texi:26030: warning: @footnote should not appear on @deffn line ghdl.texi:26041: warning: @footnote should not appear on @deffn line ghdl.texi:26126: warning: @footnote should not appear on @deffn line ghdl.texi:26126: warning: @footnote should not appear on @deffn line ghdl.texi:26137: warning: @footnote should not appear on @deffn line ghdl.texi:26137: warning: @footnote should not appear on @deffn line ghdl.texi:26148: warning: @footnote should not appear on @deffn line ghdl.texi:26226: warning: @footnote should not appear on @deffn line ghdl.texi:26226: warning: @footnote should not appear on @deffn line ghdl.texi:26237: warning: @footnote should not appear on @deffn line ghdl.texi:26248: warning: @footnote should not appear on @deffn line ghdl.texi:26259: warning: @footnote should not appear on @deffn line ghdl.texi:26344: warning: @footnote should not appear on @deffn line ghdl.texi:26344: warning: @footnote should not appear on @deffn line ghdl.texi:26355: warning: @footnote should not appear on @deffn line ghdl.texi:26366: warning: @footnote should not appear on @deffn line ghdl.texi:26377: warning: @footnote should not appear on @deffn line ghdl.texi:26462: warning: @footnote should not appear on @deffn line ghdl.texi:26462: warning: @footnote should not appear on @deffn line ghdl.texi:26473: warning: @footnote should not appear on @deffn line ghdl.texi:26484: warning: @footnote should not appear on @deffn line ghdl.texi:26495: warning: @footnote should not appear on @deffn line ghdl.texi:26580: warning: @footnote should not appear on @deffn line ghdl.texi:26580: warning: @footnote should not appear on @deffn line ghdl.texi:26591: warning: @footnote should not appear on @deffn line ghdl.texi:26602: warning: @footnote should not appear on @deffn line ghdl.texi:26613: warning: @footnote should not appear on @deffn line ghdl.texi:26698: warning: @footnote should not appear on @deffn line ghdl.texi:26698: warning: @footnote should not appear on @deffn line ghdl.texi:26709: warning: @footnote should not appear on @deffn line ghdl.texi:26720: warning: @footnote should not appear on @deffn line ghdl.texi:26731: warning: @footnote should not appear on @deffn line ghdl.texi:26813: warning: @footnote should not appear on @deffn line ghdl.texi:26813: warning: @footnote should not appear on @deffn line ghdl.texi:26824: warning: @footnote should not appear on @deffn line ghdl.texi:26835: warning: @footnote should not appear on @deffn line ghdl.texi:26846: warning: @footnote should not appear on @deffn line ghdl.texi:57036: warning: @footnote should not appear on @item line s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genhooks.o ../../src/gcc/genhooks.cc checking that generated files are newer than configure... done configure: creating ./config.status s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genchecksum.o ../../src/gcc/genchecksum.cc ../../src/gcc/genhooks.cc: In function ‘void emit_documentation(const char*)’: ../../src/gcc/genhooks.cc:120:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 120 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:111:17: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 111 | while (fscanf (f, "%*[^@]"), buf[0] = '\0', | ~~~~~~~^~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:173:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 173 | fscanf (f, "%5[^ \n]", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:179:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 179 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-save-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-gen.awk \ -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp s390x-linux-gnu-cpp-13' -D 'fngcc s390x-linux-gnu-gcc-13' -D 'fngcov s390x-linux-gnu-gcc-13' -D 'fngcovtool s390x-linux-gnu-gcc-13' -D 'fngcovdump s390x-linux-gnu-gcc-13' -D 'fngxx s390x-linux-gnu-g++-13' -D 'fngccint s390x-linux-gnu-gccint-13' -D 'fngccinstall s390x-linux-gnu-gccinstall-13' -D 'fncppint s390x-linux-gnu-cppinternals-13' -D 'fngfortran s390x-linux-gnu-gfortran-13' -D 'fngccgo s390x-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/s390x-linux-gnu-cpp-13.info ../../src/gcc/doc/cpp.texi; \ fi config.status: creating Makefile config.status: creating cc1plugin-config.h if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp s390x-linux-gnu-cpp-13' -D 'fngcc s390x-linux-gnu-gcc-13' -D 'fngcov s390x-linux-gnu-gcc-13' -D 'fngcovtool s390x-linux-gnu-gcc-13' -D 'fngcovdump s390x-linux-gnu-gcc-13' -D 'fngxx s390x-linux-gnu-g++-13' -D 'fngccint s390x-linux-gnu-gccint-13' -D 'fngccinstall s390x-linux-gnu-gccinstall-13' -D 'fncppint s390x-linux-gnu-cppinternals-13' -D 'fngfortran s390x-linux-gnu-gfortran-13' -D 'fngccgo s390x-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/s390x-linux-gnu-gcc-13.info ../../src/gcc/doc/gcc.texi; \ fi config.status: executing depfiles commands config.status: executing libtool commands if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp s390x-linux-gnu-cpp-13' -D 'fngcc s390x-linux-gnu-gcc-13' -D 'fngcov s390x-linux-gnu-gcc-13' -D 'fngcovtool s390x-linux-gnu-gcc-13' -D 'fngcovdump s390x-linux-gnu-gcc-13' -D 'fngxx s390x-linux-gnu-g++-13' -D 'fngccint s390x-linux-gnu-gccint-13' -D 'fngccinstall s390x-linux-gnu-gccinstall-13' -D 'fncppint s390x-linux-gnu-cppinternals-13' -D 'fngfortran s390x-linux-gnu-gfortran-13' -D 'fngccgo s390x-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/s390x-linux-gnu-gccinstall-13.info ../../src/gcc/doc/install.texi; \ fi install.texi:260: warning: @anchor should not appear on @item line install.texi:289: warning: @anchor should not appear on @item line install.texi:313: warning: @anchor should not appear on @item line install.texi:1086: warning: @anchor should not appear on @item line install.texi:1107: warning: @anchor should not appear on @item line install.texi:1142: warning: @anchor should not appear on @item line install.texi:1793: warning: @anchor should not appear on @item line if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp s390x-linux-gnu-cpp-13' -D 'fngcc s390x-linux-gnu-gcc-13' -D 'fngcov s390x-linux-gnu-gcc-13' -D 'fngcovtool s390x-linux-gnu-gcc-13' -D 'fngcovdump s390x-linux-gnu-gcc-13' -D 'fngxx s390x-linux-gnu-g++-13' -D 'fngccint s390x-linux-gnu-gccint-13' -D 'fngccinstall s390x-linux-gnu-gccinstall-13' -D 'fncppint s390x-linux-gnu-cppinternals-13' -D 'fngfortran s390x-linux-gnu-gfortran-13' -D 'fngccgo s390x-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/s390x-linux-gnu-cppinternals-13.info ../../src/gcc/doc/cppinternals.texi; \ fi echo timestamp > doc/cpp.1 (pod2man --center="GNU" --release="gcc-13" --date=2024-03-24 --section=1 cpp.pod > doc/cpp.1.T$$ && \ mv -f doc/cpp.1.T$$ doc/cpp.1) || \ (rm -f doc/cpp.1.T$$ && exit 1) echo timestamp > doc/gcc.1 (pod2man --center="GNU" --release="gcc-13" --date=2024-03-24 --section=1 gcc.pod > doc/gcc.1.T$$ && \ mv -f doc/gcc.1.T$$ doc/gcc.1) || \ (rm -f doc/gcc.1.T$$ && exit 1) s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genmodes.o ../../src/gcc/genmodes.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/errors.o ../../src/gcc/errors.cc s390x-linux-gnu-g++-13 -c -DBASEVER="\"13.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Ubuntu 13.2.0-21ubuntu1) \"" -DBUGURL="\"\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genversion.o ../../src/gcc/genversion.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:135:1: note: here s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/opth-gen.awk \ < optionlist > tmp-options.h s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengenrtl \ build/gengenrtl.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genhooks \ build/genhooks.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genchecksum \ build/genchecksum.o ../build-s390x-linux-gnu/libiberty/libiberty.a cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi echo timestamp > s-tm-texi s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmodes \ build/genmodes.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc \ build/genversion.o -o build/genversion build/gengenrtl > tmp-genrtl.h /bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h echo timestamp > s-genrtl-h build/genmodes -m > tmp-min-modes.cc /bin/bash ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc echo timestamp > s-modes-m build/genhooks "Target Hook" \ > tmp-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h echo timestamp > s-target-hooks-def-h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ common/common-target-hooks-def.h echo timestamp > s-common-target-hooks-def-h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h echo timestamp > s-c-target-hooks-def-h build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h echo timestamp > s-d-target-hooks-def-h build/genmodes > tmp-modes.cc /bin/bash ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc build/genmodes -h > tmp-modes.h /bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h echo timestamp > s-modes build/genmodes -i > tmp-modes-inline.h echo timestamp > s-modes-h /bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h build/genversion > tmp-version.h /bin/bash ../../src/gcc/../move-if-change tmp-version.h version.h echo timestamp > s-modes-inline-h echo timestamp > s-version if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp s390x-linux-gnu-cpp-13' -D 'fngcc s390x-linux-gnu-gcc-13' -D 'fngcov s390x-linux-gnu-gcc-13' -D 'fngcovtool s390x-linux-gnu-gcc-13' -D 'fngcovdump s390x-linux-gnu-gcc-13' -D 'fngxx s390x-linux-gnu-g++-13' -D 'fngccint s390x-linux-gnu-gccint-13' -D 'fngccinstall s390x-linux-gnu-gccinstall-13' -D 'fncppint s390x-linux-gnu-cppinternals-13' -D 'fngfortran s390x-linux-gnu-gfortran-13' -D 'fngccgo s390x-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/s390x-linux-gnu-gccint-13.info ../../src/gcc/doc/gccint.texi; \ fi /bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h echo timestamp > s-options-h s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genconstants.o ../../src/gcc/genconstants.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/min-insn-modes.o min-insn-modes.cc ghdl.texi:606: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text ghdl.texi:57358: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/inchash.o ../../src/gcc/inchash.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genenums.o ../../src/gcc/genenums.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genmddeps.o ../../src/gcc/genmddeps.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/read-md.o ../../src/gcc/read-md.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype.o ../../src/gcc/gengtype.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype-state.o ../../src/gcc/gengtype-state.cc s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmddeps \ build/genmddeps.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconstants \ build/genconstants.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genenums \ build/genenums.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md > tmp-mddeps /bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk echo timestamp > s-mddeps build/genconstants ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ > tmp-constants.h /bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h echo timestamp > s-constants build/genenums ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ > tmp-enums.cc /bin/bash ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc echo timestamp > s-enums s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencheck.o ../../src/gcc/gencheck.cc s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencheck \ build/gencheck.o ../build-s390x-linux-gnu/libiberty/libiberty.a build/gencheck > tmp-check.h /bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h echo timestamp > s-check s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengtype \ build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-s390x-linux-gnu/libiberty/libiberty.a build/gengtype \ -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state /bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state build/gengtype \ -r gtype.state echo timestamp > s-gtype s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genopinit.o ../../src/gcc/genopinit.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genattrtab.o ../../src/gcc/genattrtab.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genautomata.o ../../src/gcc/genautomata.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genemit.o ../../src/gcc/genemit.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genextract.o ../../src/gcc/genextract.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genoutput.o ../../src/gcc/genoutput.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genpeep.o ../../src/gcc/genpeep.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genrecog.o ../../src/gcc/genrecog.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genpreds.o ../../src/gcc/genpreds.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/rtl.o ../../src/gcc/rtl.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/read-rtl.o ../../src/gcc/read-rtl.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/ggc-none.o ../../src/gcc/ggc-none.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/vec.o ../../src/gcc/vec.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gensupport.o ../../src/gcc/gensupport.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/print-rtl.o ../../src/gcc/print-rtl.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/hash-table.o ../../src/gcc/hash-table.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genflags.o ../../src/gcc/genflags.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genconditions.o ../../src/gcc/genconditions.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genattr.o ../../src/gcc/genattr.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genattr-common.o ../../src/gcc/genattr-common.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencodes.o ../../src/gcc/gencodes.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genconfig.o ../../src/gcc/genconfig.cc s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.cc s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattrtab \ build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a -lm s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genextract \ build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genoutput \ build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpeep \ build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genrecog \ build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genflags \ build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconditions \ build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr \ build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr-common \ build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencodes \ build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconfig \ build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a build/gencfn-macros -c \ > tmp-case-cfn-macros.h /bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ case-cfn-macros.h echo timestamp > s-case-cfn-macros build/gencfn-macros -o \ > tmp-cfn-operators.pd build/genpreds ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md > tmp-preds.cc /bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md > tmp-preds.h echo timestamp > s-cfn-operators build/genconditions ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md > tmp-condmd.cc /bin/bash ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc echo timestamp > s-preds build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md > tmp-constrs.h /bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h echo timestamp > s-preds-h s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genmatch.o ../../src/gcc/genmatch.cc /bin/bash ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc echo timestamp > s-conditions /bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h echo timestamp > s-constrs-h s390x-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencondmd.o build/gencondmd.cc s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gentarget-def \ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencondmd \ build/gencondmd.o build/errors.o ../build-s390x-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md /bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-flags.h build/genattr ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-attr.h build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-attr-common.h /bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h /bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h echo timestamp > s-flags /bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h build/gencodes ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-codes.h echo timestamp > s-attr build/genconfig ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-config.h echo timestamp > s-attr-common build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-target-def.h /bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h echo timestamp > s-codes build/genopinit ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md -htmp-opinit.h -ctmp-opinit.cc /bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h echo timestamp > s-target-def build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md insn-conditions.md \ -Atmp-attrtab.cc -Dtmp-dfatab.cc -Ltmp-latencytab.cc /bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h echo timestamp > s-config build/genautomata ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-automata.cc /bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h /bin/bash ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc echo timestamp > s-opinit build/genemit ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-emit.cc /bin/bash ../../src/gcc/../move-if-change tmp-emit.cc insn-emit.cc echo timestamp > s-emit build/genextract ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-extract.cc /bin/bash ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc echo timestamp > s-extract build/genoutput ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-output.cc /bin/bash ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc echo timestamp > s-output build/genpeep ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-peep.cc /bin/bash ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc echo timestamp > s-peep build/genrecog ../../src/gcc/common.md ../../src/gcc/config/s390/s390.md \ insn-conditions.md > tmp-recog.cc ../../src/gcc/config/s390/s390.md:3528:1: warning: operand 1 missing mode? ../../src/gcc/config/s390/s390.md:10075:1: warning: operand 0 missing mode? ../../src/gcc/config/s390/s390.md:12214:1: warning: source missing a mode? ../../src/gcc/config/s390/s390.md:12214:1: warning: source missing a mode? ../../src/gcc/config/s390/s390.md:12232:1: warning: source missing a mode? ../../src/gcc/config/s390/s390.md:12232:1: warning: source missing a mode? Statistics for recog: Number of decisions: 13930 longest path: 185 (code: 2280) longest backtrack: 26 (code: 1938) Statistics for split_insns: Number of decisions: 1245 longest path: 40 (code: 213) longest backtrack: 11 (code: 175) Statistics for peephole2_insns: Number of decisions: 204 longest path: 40 (code: 15) longest backtrack: 3 (code: 12) Shared 9643 out of 22442 states by creating 2373 new states, saving 7270 /bin/bash ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc echo timestamp > s-recog s390x-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmatch \ build/genmatch.o ../build-s390x-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-s390x-linux-gnu/libiberty/libiberty.a build/genmatch --gimple ../../src/gcc/match.pd \ > tmp-gimple-match.cc GIMPLE decision tree has 5193 leafs, maximum depth 28 and a total number of 22724 nodes removed 3797 duplicate tails build/genmatch --generic ../../src/gcc/match.pd \ > tmp-generic-match.cc GENERIC decision tree has 4132 leafs, maximum depth 18 and a total number of 18436 nodes removed 2831 duplicate tails /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match.cc \ gimple-match.cc /bin/bash ../../src/gcc/../move-if-change tmp-generic-match.cc \ generic-match.cc echo timestamp > s-match s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc ../../src/gcc/c/c-typeck.cc: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: ../../src/gcc/c/c-typeck.cc:3798:17: warning: format not a string literal and no format arguments [-Wformat-security] 3798 | error (invalid_func_diag); | ~~~~~~^~~~~~~~~~~~~~~~~~~ ../../src/gcc/c/c-typeck.cc: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: ../../src/gcc/c/c-typeck.cc:4568:16: warning: format not a string literal and no format arguments [-Wformat-security] 4568 | error_at (location, invalid_op_diag); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/c/c-typeck.cc: In function ‘void warning_init(location_t, int, const char*)’: ../../src/gcc/c/c-typeck.cc:6755:23: warning: format not a string literal and no format arguments [-Wformat-security] 6755 | warned = warning_at (exploc, opt, gmsgid); | ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/c/c-typeck.cc: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: ../../src/gcc/c/c-typeck.cc:12270:16: warning: format not a string literal and no format arguments [-Wformat-security] 12270 | error_at (location, invalid_op_diag); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc ../../src/gcc/c/c-convert.cc: In function ‘tree_node* c_convert(tree, tree, bool)’: ../../src/gcc/c/c-convert.cc:84:13: warning: format not a string literal and no format arguments [-Wformat-security] 84 | error (invalid_conv_diag); | ~~~~~~^~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.cc ../../src/gcc/c-family/c-common.cc: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: ../../src/gcc/c-family/c-common.cc:6612:14: warning: format not a string literal and no format arguments [-Wformat-security] 6612 | error_at (richloc, gmsgid); | ~~~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/c-family/c-common.cc:6616:16: warning: format not a string literal and no format arguments [-Wformat-security] 6616 | error_at (richloc, message); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.cc /bin/bash ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc echo timestamp > s-automata s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"s390x-ibm-linux-gnu\" -DTARGET_MACHINE=\"s390x-ibm-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/s390/s390-c.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o adjust-alignment.o -MT adjust-alignment.o -MMD -MP -MF ./.deps/adjust-alignment.TPo ../../src/gcc/adjust-alignment.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ctfc.o -MT ctfc.o -MMD -MP -MF ./.deps/ctfc.TPo ../../src/gcc/ctfc.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ctfout.o -MT ctfout.o -MMD -MP -MF ./.deps/ctfout.TPo ../../src/gcc/ctfout.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o btfout.o -MT btfout.o -MMD -MP -MF ./.deps/btfout.TPo ../../src/gcc/btfout.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o symtab-thunks.o -MT symtab-thunks.o -MMD -MP -MF ./.deps/symtab-thunks.TPo ../../src/gcc/symtab-thunks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o symtab-clones.o -MT symtab-clones.o -MMD -MP -MF ./.deps/symtab-clones.TPo ../../src/gcc/symtab-clones.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../include/c++/13\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../include/s390x-linux-gnu/c++/13\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../include/c++/13/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../libc++_include/c++/13/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DBASEVER="\"13.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../include/c++/13\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../include/s390x-linux-gnu/c++/13\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../include/c++/13/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../libc++_include/c++/13/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2ctf.o -MT dwarf2ctf.o -MMD -MP -MF ./.deps/dwarf2ctf.TPo ../../src/gcc/dwarf2ctf.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.cc ../../src/gcc/expmed.cc: In function ‘rtx_def* extract_bit_field_1(rtx, poly_uint64, poly_uint64, int, rtx, machine_mode, machine_mode, bool, bool, rtx_def**)’: ../../src/gcc/expmed.cc:1838:45: warning: ‘*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))’ may be used uninitialized [-Wmaybe-uninitialized] 1838 | rtx sub = extract_bit_field_as_subreg (mode1, op0, imode, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~ 1839 | bitsize, bitnum); | ~~~~~~~~~~~~~~~~ ../../src/gcc/expmed.cc:1798:19: note: ‘*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))’ was declared here 1798 | scalar_int_mode imode; | ^~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.cc ../../src/gcc/fold-const.cc: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: ../../src/gcc/fold-const.cc:313:13: warning: format not a string literal and no format arguments [-Wformat-security] 313 | warning (OPT_Wstrict_overflow, gmsgid); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.cc /bin/bash ../../src/gcc/../move-if-change tmp-attrtab.cc insn-attrtab.cc /bin/bash ../../src/gcc/../move-if-change tmp-dfatab.cc insn-dfatab.cc /bin/bash ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc echo timestamp > s-attrtab s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-array-bounds.o -MT gimple-array-bounds.o -MMD -MP -MF ./.deps/gimple-array-bounds.TPo ../../src/gcc/gimple-array-bounds.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-if-to-switch.o -MT gimple-if-to-switch.o -MMD -MP -MF ./.deps/gimple-if-to-switch.TPo ../../src/gcc/gimple-if-to-switch.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-cache.o -MT gimple-range-cache.o -MMD -MP -MF ./.deps/gimple-range-cache.TPo ../../src/gcc/gimple-range-cache.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-edge.o -MT gimple-range-edge.o -MMD -MP -MF ./.deps/gimple-range-edge.TPo ../../src/gcc/gimple-range-edge.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-fold.o -MT gimple-range-fold.o -MMD -MP -MF ./.deps/gimple-range-fold.TPo ../../src/gcc/gimple-range-fold.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-gori.o -MT gimple-range-gori.o -MMD -MP -MF ./.deps/gimple-range-gori.TPo ../../src/gcc/gimple-range-gori.cc ../../src/gcc/gimple-range-gori.cc: In member function ‘void range_def_chain::dump(FILE*, basic_block, const char*)’: ../../src/gcc/gimple-range-gori.cc:318:19: warning: format not a string literal and no format arguments [-Wformat-security] 318 | fprintf (f, prefix); | ~~~~~~~~^~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-infer.o -MT gimple-range-infer.o -MMD -MP -MF ./.deps/gimple-range-infer.TPo ../../src/gcc/gimple-range-infer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-op.o -MT gimple-range-op.o -MMD -MP -MF ./.deps/gimple-range-op.TPo ../../src/gcc/gimple-range-op.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-trace.o -MT gimple-range-trace.o -MMD -MP -MF ./.deps/gimple-range-trace.TPo ../../src/gcc/gimple-range-trace.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-warn-access.o -MT gimple-ssa-warn-access.o -MMD -MP -MF ./.deps/gimple-ssa-warn-access.TPo ../../src/gcc/gimple-ssa-warn-access.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-warn-recursion.o -MT gimple-warn-recursion.o -MMD -MP -MF ./.deps/gimple-warn-recursion.TPo ../../src/gcc/gimple-warn-recursion.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.cc ../../src/gcc/ipa-devirt.cc: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: ../../src/gcc/ipa-devirt.cc:965:10: warning: format not a string literal and no format arguments [-Wformat-security] 965 | inform (DECL_SOURCE_LOCATION (decl2), reason); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-free-lang-data.o -MT ipa-free-lang-data.o -MMD -MP -MF ./.deps/ipa-free-lang-data.TPo ../../src/gcc/ipa-free-lang-data.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-modref.o -MT ipa-modref.o -MMD -MP -MF ./.deps/ipa-modref.TPo ../../src/gcc/ipa-modref.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-modref-tree.o -MT ipa-modref-tree.o -MMD -MP -MF ./.deps/ipa-modref-tree.TPo ../../src/gcc/ipa-modref-tree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DTARGET_MACHINE=\"s390x-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-oacc-kernels-decompose.o -MT omp-oacc-kernels-decompose.o -MMD -MP -MF ./.deps/omp-oacc-kernels-decompose.TPo ../../src/gcc/omp-oacc-kernels-decompose.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-oacc-neuter-broadcast.o -MT omp-oacc-neuter-broadcast.o -MMD -MP -MF ./.deps/omp-oacc-neuter-broadcast.TPo ../../src/gcc/omp-oacc-neuter-broadcast.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DTARGET_NAME=\"s390x-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o pointer-query.o -MT pointer-query.o -MMD -MP -MF ./.deps/pointer-query.TPo ../../src/gcc/pointer-query.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o range-op-float.o -MT range-op-float.o -MMD -MP -MF ./.deps/range-op-float.TPo ../../src/gcc/range-op-float.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/accesses.o -MT rtl-ssa/accesses.o -MMD -MP -MF rtl-ssa/.deps/accesses.TPo ../../src/gcc/rtl-ssa/accesses.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/blocks.o -MT rtl-ssa/blocks.o -MMD -MP -MF rtl-ssa/.deps/blocks.TPo ../../src/gcc/rtl-ssa/blocks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/changes.o -MT rtl-ssa/changes.o -MMD -MP -MF rtl-ssa/.deps/changes.TPo ../../src/gcc/rtl-ssa/changes.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/functions.o -MT rtl-ssa/functions.o -MMD -MP -MF rtl-ssa/.deps/functions.TPo ../../src/gcc/rtl-ssa/functions.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/insns.o -MT rtl-ssa/insns.o -MMD -MP -MF rtl-ssa/.deps/insns.TPo ../../src/gcc/rtl-ssa/insns.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o splay-tree-utils.o -MT splay-tree-utils.o -MMD -MP -MF ./.deps/splay-tree-utils.TPo ../../src/gcc/splay-tree-utils.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DTARGET_NAME=\"s390x-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DTARGET_NAME=\"s390x-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-diagnostic-client-data-hooks.o -MT tree-diagnostic-client-data-hooks.o -MMD -MP -MF ./.deps/tree-diagnostic-client-data-hooks.TPo ../../src/gcc/tree-diagnostic-client-data-hooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-logical-location.o -MT tree-logical-location.o -MMD -MP -MF ./.deps/tree-logical-location.TPo ../../src/gcc/tree-logical-location.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-path.o -MT gimple-range-path.o -MMD -MP -MF ./.deps/gimple-range-path.TPo ../../src/gcc/gimple-range-path.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.cc ../../src/gcc/tree-ssa-sccvn.cc: In function ‘void print_vn_reference_ops(FILE*, vec)’: ../../src/gcc/tree-ssa-sccvn.cc:280:21: warning: format not a string literal and no format arguments [-Wformat-security] 280 | fprintf (outfile, internal_fn_name ((internal_fn)vro->clique)); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-isel.o -MT gimple-isel.o -MMD -MP -MF ./.deps/gimple-isel.TPo ../../src/gcc/gimple-isel.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-slp-patterns.o -MT tree-vect-slp-patterns.o -MMD -MP -MF ./.deps/tree-vect-slp-patterns.TPo ../../src/gcc/tree-vect-slp-patterns.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-pointer-equiv.o -MT value-pointer-equiv.o -MMD -MP -MF ./.deps/value-pointer-equiv.TPo ../../src/gcc/value-pointer-equiv.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-query.o -MT value-query.o -MMD -MP -MF ./.deps/value-query.TPo ../../src/gcc/value-query.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-range-pretty-print.o -MT value-range-pretty-print.o -MMD -MP -MF ./.deps/value-range-pretty-print.TPo ../../src/gcc/value-range-pretty-print.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-range-storage.o -MT value-range-storage.o -MMD -MP -MF ./.deps/value-range-storage.TPo ../../src/gcc/value-range-storage.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-relation.o -MT value-relation.o -MMD -MP -MF ./.deps/value-relation.TPo ../../src/gcc/value-relation.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o warning-control.o -MT warning-control.o -MMD -MP -MF ./.deps/warning-control.TPo ../../src/gcc/warning-control.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o s390.o -MT s390.o -MMD -MP -MF ./.deps/s390.TPo ../../src/gcc/config/s390/s390.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-language.o -MT analyzer/analyzer-language.o -MMD -MP -MF analyzer/.deps/analyzer-language.TPo ../../src/gcc/analyzer/analyzer-language.cc ../../src/gcc/config/s390/s390.cc: In function ‘void print_operand(FILE*, rtx, int)’: ../../src/gcc/config/s390/s390.cc:8152:15: warning: format not a string literal and no format arguments [-Wformat-security] 8152 | fprintf (file, s390_branch_condition_mnemonic (x, FALSE)); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/config/s390/s390.cc:8156:15: warning: format not a string literal and no format arguments [-Wformat-security] 8156 | fprintf (file, s390_branch_condition_mnemonic (x, TRUE)); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/bounds-checking.o -MT analyzer/bounds-checking.o -MMD -MP -MF analyzer/.deps/bounds-checking.TPo ../../src/gcc/analyzer/bounds-checking.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-details.o -MT analyzer/call-details.o -MMD -MP -MF analyzer/.deps/call-details.TPo ../../src/gcc/analyzer/call-details.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-info.o -MT analyzer/call-info.o -MMD -MP -MF analyzer/.deps/call-info.TPo ../../src/gcc/analyzer/call-info.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-summary.o -MT analyzer/call-summary.o -MMD -MP -MF analyzer/.deps/call-summary.TPo ../../src/gcc/analyzer/call-summary.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/checker-event.o -MT analyzer/checker-event.o -MMD -MP -MF analyzer/.deps/checker-event.TPo ../../src/gcc/analyzer/checker-event.cc ../../src/gcc/analyzer/call-summary.cc: In member function ‘void ana::call_summary::get_user_facing_desc(pretty_printer*) const’: ../../src/gcc/analyzer/call-summary.cc:99:40: warning: unknown conversion type character ‘E’ in format [-Wformat=] 99 | "when %qE returns pointer" | ^ ../../src/gcc/analyzer/call-summary.cc:99:32: warning: too many arguments for format [-Wformat-extra-args] 99 | "when %qE returns pointer" | ^~~~~~~~~~~~~~~~~~~~~~~~~~ 100 | " to heap-allocated buffer", | ~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/call-summary.cc:113:42: warning: unknown conversion type character ‘E’ in format [-Wformat=] 113 | pp_printf (pp, "when %qE returns NULL", fndecl); | ^ ../../src/gcc/analyzer/call-summary.cc:113:34: warning: too many arguments for format [-Wformat-extra-args] 113 | pp_printf (pp, "when %qE returns NULL", fndecl); | ^~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/call-summary.cc:115:42: warning: unknown conversion type character ‘E’ in format [-Wformat=] 115 | pp_printf (pp, "when %qE returns %qE", fndecl, cst); | ^ ../../src/gcc/analyzer/call-summary.cc:115:54: warning: unknown conversion type character ‘E’ in format [-Wformat=] 115 | pp_printf (pp, "when %qE returns %qE", fndecl, cst); | ^ ../../src/gcc/analyzer/call-summary.cc:115:34: warning: too many arguments for format [-Wformat-extra-args] 115 | pp_printf (pp, "when %qE returns %qE", fndecl, cst); | ^~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/call-summary.cc:123:26: warning: unknown conversion type character ‘E’ in format [-Wformat=] 123 | pp_printf (pp, "when %qE returns", fndecl); | ^ ../../src/gcc/analyzer/call-summary.cc:123:18: warning: too many arguments for format [-Wformat-extra-args] 123 | pp_printf (pp, "when %qE returns", fndecl); | ^~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/complexity.o -MT analyzer/complexity.o -MMD -MP -MF analyzer/.deps/complexity.TPo ../../src/gcc/analyzer/complexity.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc ../../src/gcc/analyzer/diagnostic-manager.cc: In member function ‘void ana::saved_diagnostic::dump_as_dot_node(pretty_printer*) const’: ../../src/gcc/analyzer/diagnostic-manager.cc:802:28: warning: unknown conversion type character ‘E’ in format [-Wformat=] 802 | pp_printf (pp, "var: %qE\n", m_var); | ^ ../../src/gcc/analyzer/diagnostic-manager.cc:802:20: warning: too many arguments for format [-Wformat-extra-args] 802 | pp_printf (pp, "var: %qE\n", m_var); | ^~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/feasible-graph.o -MT analyzer/feasible-graph.o -MMD -MP -MF analyzer/.deps/feasible-graph.TPo ../../src/gcc/analyzer/feasible-graph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/infinite-recursion.o -MT analyzer/infinite-recursion.o -MMD -MP -MF analyzer/.deps/infinite-recursion.TPo ../../src/gcc/analyzer/infinite-recursion.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/kf.o -MT analyzer/kf.o -MMD -MP -MF analyzer/.deps/kf.TPo ../../src/gcc/analyzer/kf.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/kf-analyzer.o -MT analyzer/kf-analyzer.o -MMD -MP -MF analyzer/.deps/kf-analyzer.TPo ../../src/gcc/analyzer/kf-analyzer.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/kf-lang-cp.o -MT analyzer/kf-lang-cp.o -MMD -MP -MF analyzer/.deps/kf-lang-cp.TPo ../../src/gcc/analyzer/kf-lang-cp.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/known-function-manager.o -MT analyzer/known-function-manager.o -MMD -MP -MF analyzer/.deps/known-function-manager.TPo ../../src/gcc/analyzer/known-function-manager.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc ../../src/gcc/analyzer/program-state.cc: In function ‘void ana::log_set_of_svalues(logger*, const char*, const svalue_set&)’: ../../src/gcc/analyzer/program-state.cc:1422:15: warning: format not a string literal and no format arguments [-Wformat-security] 1422 | logger->log (name); | ~~~~~~~~~~~~^~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region.o -MT analyzer/region.o -MMD -MP -MF analyzer/.deps/region.TPo ../../src/gcc/analyzer/region.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model-asm.o -MT analyzer/region-model-asm.o -MMD -MP -MF analyzer/.deps/region-model-asm.TPo ../../src/gcc/analyzer/region-model-asm.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model-manager.o -MT analyzer/region-model-manager.o -MMD -MP -MF analyzer/.deps/region-model-manager.TPo ../../src/gcc/analyzer/region-model-manager.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model-reachability.o -MT analyzer/region-model-reachability.o -MMD -MP -MF analyzer/.deps/region-model-reachability.TPo ../../src/gcc/analyzer/region-model-reachability.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-fd.o -MT analyzer/sm-fd.o -MMD -MP -MF analyzer/.deps/sm-fd.TPo ../../src/gcc/analyzer/sm-fd.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc ../../src/gcc/analyzer/sm-malloc.cc: In static member function ‘static bool ana::{anonymous}::deref_before_check::sufficiently_similar_p(tree, tree)’: ../../src/gcc/analyzer/sm-malloc.cc:1627:25: warning: unknown conversion type character ‘E’ in format [-Wformat=] 1627 | pp_printf (pp_a, "%qE", expr_a); | ^ ../../src/gcc/analyzer/sm-malloc.cc:1627:22: warning: too many arguments for format [-Wformat-extra-args] 1627 | pp_printf (pp_a, "%qE", expr_a); | ^~~~~ ../../src/gcc/analyzer/sm-malloc.cc:1628:25: warning: unknown conversion type character ‘E’ in format [-Wformat=] 1628 | pp_printf (pp_b, "%qE", expr_b); | ^ ../../src/gcc/analyzer/sm-malloc.cc:1628:22: warning: too many arguments for format [-Wformat-extra-args] 1628 | pp_printf (pp_b, "%qE", expr_b); | ^~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/store.o -MT analyzer/store.o -MMD -MP -MF analyzer/.deps/store.TPo ../../src/gcc/analyzer/store.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/svalue.o -MT analyzer/svalue.o -MMD -MP -MF analyzer/.deps/svalue.TPo ../../src/gcc/analyzer/svalue.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/trimmed-graph.o -MT analyzer/trimmed-graph.o -MMD -MP -MF analyzer/.deps/trimmed-graph.TPo ../../src/gcc/analyzer/trimmed-graph.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/varargs.o -MT analyzer/varargs.o -MMD -MP -MF analyzer/.deps/varargs.TPo ../../src/gcc/analyzer/varargs.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o s390-common.o -MT s390-common.o -MMD -MP -MF ./.deps/s390-common.TPo ../../src/gcc/common/config/s390/s390-common.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"13.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-spec.o -MT diagnostic-spec.o -MMD -MP -MF ./.deps/diagnostic-spec.TPo ../../src/gcc/diagnostic-spec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc ../../src/gcc/diagnostic.cc: In function ‘void fancy_abort(const char*, int, const char*)’: ../../src/gcc/diagnostic.cc:2235:15: warning: format not a string literal and no format arguments [-Wformat-security] 2235 | fnotice (stderr, diagnostic_kind_text[DK_ICE]); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-format-sarif.o -MT diagnostic-format-sarif.o -MMD -MP -MF ./.deps/diagnostic-format-sarif.TPo ../../src/gcc/diagnostic-format-sarif.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-lang.o -MT lto/lto-lang.o -MMD -MP -MF lto/.deps/lto-lang.TPo ../../src/gcc/lto/lto-lang.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto.o -MT lto/lto.o -MMD -MP -MF lto/.deps/lto.TPo ../../src/gcc/lto/lto.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-object.o -MT lto/lto-object.o -MMD -MP -MF lto/.deps/lto-object.TPo ../../src/gcc/lto/lto-object.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-partition.o -MT lto/lto-partition.o -MMD -MP -MF lto/.deps/lto-partition.TPo ../../src/gcc/lto/lto-partition.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-symtab.o -MT lto/lto-symtab.o -MMD -MP -MF lto/.deps/lto-symtab.TPo ../../src/gcc/lto/lto-symtab.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-common.o -MT lto/lto-common.o -MMD -MP -MF lto/.deps/lto-common.TPo ../../src/gcc/lto/lto-common.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.cc ../../src/gcc/lto/lto-common.cc: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: ../../src/gcc/lto/lto-common.cc:2081:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2081 | fscanf (resolution, " "); /* Read white space. */ | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2083:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2083 | fread (obj_name, sizeof (char), name_len, resolution); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2103:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2103 | fscanf (resolution, "%u", &num_symbols); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/vhdl/ortho-lang.cc: In function ‘tree_node* convert(tree, tree)’: ../../src/gcc/vhdl/ortho-lang.cc:649:13: warning: format not a string literal and no format arguments [-Wformat-security] 649 | error (invalid_conv_diag); | ~~~~~~^~~~~~~~~~~~~~~~~~~ ../../src/gcc/vhdl/ortho-lang.cc: In function ‘void new_var_body(tree, o_storage, tree)’: ../../src/gcc/vhdl/ortho-lang.cc:1787:20: warning: unused parameter ‘res’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~^~~ ../../src/gcc/vhdl/ortho-lang.cc:1787:40: warning: unused parameter ‘storage’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~~~~~~~~~~~^~~~~~~ ../../src/gcc/vhdl/ortho-lang.cc:1787:54: warning: unused parameter ‘atype’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~^~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c ../../src/gcc/../libgcc/libgcov-util.c: In function ‘gcov_info* gcov_read_profile_dir(const char*, int)’: ../../src/gcc/../libgcc/libgcov-util.c:455:9: warning: ignoring return value of ‘int chdir(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 455 | chdir (pwd); | ~~~~~~^~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c s390x-linux-gnu-g++-13 -fno-PIE -c -DTARGET_MACHINE=\"s390x-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc ../../src/gcc/collect2.cc: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: ../../src/gcc/collect2.cc:2397:21: warning: format not a string literal and no format arguments [-Wformat-security] 2397 | fatal_error (input_location, errmsg); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc ../../src/gcc/collect-utils.cc: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool, const char*)’: ../../src/gcc/collect-utils.cc:237:21: warning: format not a string literal and no format arguments [-Wformat-security] 237 | fatal_error (input_location, errmsg); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"s390x-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"s390x-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"s390x-linux-gnu\" -DPERSONALITY=\"ar\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"s390x-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"s390x-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"s390x-linux-gnu\" -DPERSONALITY=\"nm\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"s390x-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"s390x-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"s390x-linux-gnu\" -DPERSONALITY=\"ranlib\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.cc ../../src/gcc/lto-wrapper.cc: In function ‘void print_lto_docs_link()’: ../../src/gcc/lto-wrapper.cc:1369:10: warning: format not a string literal and no format arguments [-Wformat-security] 1369 | inform (UNKNOWN_LOCATION, pp_formatted_text (&pp)); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto-wrapper.cc: In function ‘void run_gcc(unsigned int, char**)’: ../../src/gcc/lto-wrapper.cc:1971:23: warning: format not a string literal and no format arguments [-Wformat-security] 1971 | warning (0, jinfo.error_msg.c_str ()); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.cc ../../src/gcc/lto-wrapper.cc: In function ‘bool find_and_merge_options(int, off_t, const char*, vec, bool, vec*, const char*)’: ../../src/gcc/lto-wrapper.cc:1151:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 1151 | read (fd, data, length); | ~~~~~^~~~~~~~~~~~~~~~~~ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:135:1: note: here s390x-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc s390x-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"s390x-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"s390x-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"13.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc ../../src/gcc/gcc.cc:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ | s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.cc ../../src/gcc/gcc.cc: In function ‘long unsigned int get_random_number()’: ../../src/gcc/gcc.cc:10765:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 10765 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc: In function ‘void do_report_bug(const char**, int, char**, char**)’: ../../src/gcc/gcc.cc:7964:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7964 | write (fd, "\n//", 3); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:7967:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7967 | write (fd, " ", 1); | ~~~~~~^~~~~~~~~~~~ ../../src/gcc/gcc.cc:7968:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7968 | write (fd, new_argv[i], strlen (new_argv[i])); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:7970:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7970 | write (fd, "\n\n", 2); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:7997:18: warning: ignoring return value of ‘int system(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7997 | system (cmd); | ~~~~~~~^~~~~ ../../src/gcc/gcc.cc:8009:18: warning: ignoring return value of ‘int system(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8009 | system (cmd); | ~~~~~~~^~~~~ s390x-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"s390x-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"s390x-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace ../../src/gcc/config/s390/driver-native.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.cc rm -rf libcommon-target.a s390x-linux-gnu-ar rc libcommon-target.a s390-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o s390x-linux-gnu-ranlib libcommon-target.a rm -rf libcommon.a s390x-linux-gnu-ar rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-format-json.o diagnostic-format-sarif.o diagnostic-show-locus.o edit-context.o pretty-print.o intl.o json.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o s390x-linux-gnu-ranlib libcommon.a s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.cc s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.cc s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-dump.o \ hash-table.o ggc-none.o\ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-dump s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-tool s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o Tcollect2 \ collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tcollect2 collect2 s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o Tlto-wrapper \ lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tlto-wrapper lto-wrapper s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-ar.o -o gcc-ar \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-nm.o -o gcc-nm \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-ranlib.o -o gcc-ranlib \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o gengtype \ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o errors.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o xgcc gcc.o gcc-main.o ggc-none.o \ c/gccspec.o driver-native.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cpp gcc.o gcc-main.o ggc-none.o \ c-family/cppspec.o driver-native.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs : > tmp-libgcc.mvars echo GCC_CFLAGS = '-g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars if test yes = yes; then \ NO_PIE_CFLAGS="-fno-PIE"; \ else \ NO_PIE_CFLAGS=; \ fi; \ echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars mv tmp-libgcc.mvars libgcc.mvars if /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \ sfx=`/<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ ${flags} -print-sysroot-headers-suffix`; \ if [ "${multi_dir}" = "." ]; \ then multi_dir=""; \ else \ multi_dir=/${multi_dir}; \ fi; \ echo "${sfx};${multi_dir}"; \ done; \ else \ echo ";"; \ fi > tmp-fixinc_list /bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo timestamp > s-fixinc_list rm -rf libbackend.a s390x-linux-gnu-ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o adjust-alignment.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o ctfc.o ctfout.o btfout.o symtab.o symtab-thunks.o symtab-clones.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2ctf.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-array-bounds.o gimple-builder.o gimple-expr.o gimple-if-to-switch.o gimple-iterator.o gimple-fold.o gimple-harden-conditionals.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-predicate-analysis.o gimple-pretty-print.o gimple-range.o gimple-range-cache.o gimple-range-edge.o gimple-range-fold.o gimple-range-gori.o gimple-range-infer.o gimple-range-op.o gimple-range-trace.o gimple-ssa-backprop.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-access.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimple-warn-recursion.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-free-lang-data.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-modref.o ipa-modref-tree.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-low.o omp-oacc-kernels-decompose.o omp-oacc-neuter-broadcast.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o pointer-query.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o range-op-float.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-ssa/accesses.o rtl-ssa/blocks.o rtl-ssa/changes.o rtl-ssa/functions.o rtl-ssa/insns.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o splay-tree-utils.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-client-data-hooks.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-logical-location.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o gimple-range-path.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o gimple-isel.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vect-slp-patterns.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o valtrack.o value-pointer-equiv.o value-query.o value-range.o value-range-pretty-print.o value-range-storage.o value-relation.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o warning-control.o web.o wide-int.o wide-int-print.o s390.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-language.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/bounds-checking.o analyzer/call-details.o analyzer/call-info.o analyzer/call-string.o analyzer/call-summary.o analyzer/checker-event.o analyzer/checker-path.o analyzer/complexity.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/feasible-graph.o analyzer/function-set.o analyzer/infinite-recursion.o analyzer/kf.o analyzer/kf-analyzer.o analyzer/kf-lang-cp.o analyzer/known-function-manager.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region.o analyzer/region-model.o analyzer/region-model-asm.o analyzer/region-model-manager.o analyzer/region-model-reachability.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-fd.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/store.o analyzer/supergraph.o analyzer/svalue.o analyzer/trimmed-graph.o analyzer/varargs.o linux.o host-linux.o if [ -f ../stage_final ] \ && cmp -s ../stage_current ../stage_final; then \ cp ../prev-gcc/cc1-checksum.cc cc1-checksum.cc; \ else \ build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o glibc-c.o s390-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \ checksum-options > cc1-checksum.cc.tmp && \ ../../src/gcc/../move-if-change cc1-checksum.cc.tmp cc1-checksum.cc; \ fi gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ortho_gcc-main.ali" being checked ... -> "ortho_gcc-main.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.o /<>/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb "ortho_gcc.ali" being checked ... -> "ortho_gcc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.o /<>/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb "ortho_gcc_front.ali" being checked ... -> "ortho_gcc_front.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.o /<>/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_front.o /<>/builddir/gcc/src/gcc/vhdl/ortho_front.adb s390x-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_ident.o /<>/builddir/gcc/src/gcc/vhdl/ortho_ident.adb s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o glibc-c.o s390-c.o \ cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz "bug.ali" being checked ... -> "bug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/bug.o /<>/builddir/gcc/src/gcc/vhdl/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/dyn_interning.o /<>/builddir/gcc/src/gcc/vhdl/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/dyn_maps.o /<>/builddir/gcc/src/gcc/vhdl/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/dyn_tables.o /<>/builddir/gcc/src/gcc/vhdl/dyn_tables.adb "errorout.ali" being checked ... -> "errorout.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/errorout.o /<>/builddir/gcc/src/gcc/vhdl/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/errorout-console.o /<>/builddir/gcc/src/gcc/vhdl/errorout-console.adb "flags.ali" being checked ... -> "flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/flags.o /<>/builddir/gcc/src/gcc/vhdl/flags.adb "hash.ali" being checked ... -> "hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/hash.o /<>/builddir/gcc/src/gcc/vhdl/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/interning.o /<>/builddir/gcc/src/gcc/vhdl/interning.adb "libraries.ali" being checked ... -> "libraries.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/libraries.o /<>/builddir/gcc/src/gcc/vhdl/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/name_table.o /<>/builddir/gcc/src/gcc/vhdl/name_table.adb "options.ali" being checked ... -> "options.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/options.o /<>/builddir/gcc/src/gcc/vhdl/options.adb "translation.ali" being checked ... -> "translation.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/translation.o /<>/builddir/gcc/src/gcc/vhdl/translation.adb "types.ali" being checked ... -> "types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/types.o /<>/builddir/gcc/src/gcc/vhdl/types.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl.ads "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-back_end.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/simple_io.o /<>/builddir/gcc/src/gcc/vhdl/simple_io.adb "version.ali" being checked ... -> "version.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/version.o /<>/builddir/gcc/src/gcc/vhdl/version.ads "files_map.ali" being checked ... -> "files_map.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/files_map.o /<>/builddir/gcc/src/gcc/vhdl/files_map.adb "filesystem.ali" being checked ... -> "filesystem.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/filesystem.o /<>/builddir/gcc/src/gcc/vhdl/filesystem.adb echo | /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list /bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list "str_table.ali" being checked ... -> "str_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/str_table.o /<>/builddir/gcc/src/gcc/vhdl/str_table.adb echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed if [ -d ../prev-gcc ]; then \ cd ../prev-gcc && \ /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \ libsubdir=. ; \ else \ set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \ echo The directory that should contain system headers does not exist: >&2 ; \ echo " `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \ tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/../../../../s390x-linux-gnu/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='s390x-ibm-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-s390x-linux-gnu/fixincludes && \ /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ done; \ fi "logging.ali" being checked ... -> "logging.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/logging.o /<>/builddir/gcc/src/gcc/vhdl/logging.adb "tables.ali" being checked ... -> "tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/tables.o /<>/builddir/gcc/src/gcc/vhdl/tables.adb "elab.ali" being checked ... -> "elab.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab.o /<>/builddir/gcc/src/gcc/vhdl/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_objtypes.adb "file_comments.ali" being checked ... -> "file_comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/file_comments.o /<>/builddir/gcc/src/gcc/vhdl/file_comments.adb Fixing headers into /<>/builddir/gcc/build/gcc/include-fixed for s390x-ibm-linux-gnu target Forbidden identifiers: linux unix Finding directories and links to directories Searching /usr/include/. Searching /usr/include/./llvm-c Searching /usr/include/./clang/18.1.2/include "psl.ali" being checked ... -> "psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl.o /<>/builddir/gcc/src/gcc/vhdl/psl.ads Searching /usr/include/./clang/18/include "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.o /<>/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb Searching /usr/include/./llvm Making symbolic directory links "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nodes.o /<>/builddir/gcc/src/gcc/vhdl/psl-nodes.adb "std_names.ali" being checked ... -> "std_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/std_names.o /<>/builddir/gcc/src/gcc/vhdl/std_names.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.o /<>/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads "trans.ali" being checked ... -> "trans.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans.o /<>/builddir/gcc/src/gcc/vhdl/trans.adb "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap1.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap1.adb "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap12.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap12.adb "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap2.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap2.adb Fixing directory /usr/include into /<>/builddir/gcc/build/gcc/include-fixed Applying pthread_incomplete_struct_argument to pthread.h Fixed: pthread.h "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap3.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap4.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap4.adb Applying io_quotes_use to linux/seccomp.h Applying io_quotes_use to linux/tps6594_pfsm.h Applying io_quotes_use to linux/isst_if.h Applying io_quotes_use to linux/psp-dbc.h Applying io_quotes_use to linux/nsfs.h Applying io_quotes_use to linux/usb/tmc.h Applying io_quotes_use to linux/synclink.h Applying io_quotes_use to linux/gpio.h Applying io_quotes_use to linux/blkzoned.h Applying io_quotes_use to linux/fd.h Applying io_quotes_use to linux/phantom.h Applying io_quotes_use to linux/hsi/hsi_char.h Applying io_quotes_use to linux/hsi/cs-protocol.h Applying io_quotes_def to linux/version.h Applying io_quotes_use to linux/reiserfs_fs.h "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap7.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap7.adb Applying io_quotes_use to linux/tee.h "trans-coverage.ali" being checked ... -> "trans-coverage.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-coverage.o /<>/builddir/gcc/src/gcc/vhdl/trans-coverage.adb Applying io_quotes_use to linux/atmbr2684.h Applying io_quotes_def to linux/pci_regs.h Applying io_quotes_use to linux/f2fs.h Applying io_quotes_use to linux/mmtimer.h Applying io_quotes_use to linux/agpgart.h Applying io_quotes_use to linux/uinput.h Applying io_quotes_use to linux/auto_fs.h Applying io_quotes_use to linux/blkpg.h Applying io_quotes_use to linux/genwqe/genwqe_card.h Applying io_quotes_use to linux/kfd_ioctl.h Applying io_quotes_use to linux/spi/spidev.h Applying io_quotes_use to linux/ipmi_bmc.h Applying io_quotes_use to linux/vfio.h Applying io_quotes_use to linux/pktcdvd.h Applying io_quotes_use to linux/cachefiles.h Applying io_quotes_use to linux/nitro_enclaves.h Applying io_quotes_use to linux/misc/bcm_vk.h Applying io_quotes_use to linux/if_pppox.h Applying io_quotes_use to linux/vm_sockets.h Applying io_quotes_use to linux/fs.h Applying io_quotes_use to linux/cxl_mem.h Applying io_quotes_use to linux/vtpm_proxy.h Applying io_quotes_def to linux/soundcard.h Applying io_quotes_use to linux/random.h Applying io_quotes_use to linux/sockios.h Applying io_quotes_use to linux/kvm.h Applying io_quotes_use to linux/apparmor.h Applying io_quotes_use to linux/fpga-dfl.h Applying io_quotes_use to linux/mmc/ioctl.h Applying io_quotes_use to linux/sync_file.h Applying io_quotes_use to linux/btrfs.h Applying io_quotes_use to linux/dm-ioctl.h Applying io_quotes_use to linux/counter.h Applying io_quotes_use to linux/pfrut.h "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.o /<>/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb Applying io_quotes_use to linux/cciss_ioctl.h Applying io_quotes_use to linux/user_events.h Applying io_quotes_use to linux/nbd.h Applying io_quotes_use to linux/vhost.h Applying io_quotes_use to linux/userfaultfd.h Applying io_quotes_use to linux/comedi.h Applying io_quotes_use to linux/dma-buf.h Applying io_quotes_use to linux/nsm.h Applying io_quotes_use to linux/aspeed-lpc-ctrl.h Applying io_quotes_use to linux/aspeed-p2a-ctrl.h Applying io_quotes_use to linux/iommufd.h Applying io_quotes_use to linux/bt-bmc.h Applying io_quotes_use to linux/ipmi.h Applying io_quotes_use to linux/vduse.h Applying io_quotes_use to linux/psp-sev.h Applying io_quotes_use to linux/suspend_ioctls.h Applying io_quotes_use to linux/watchdog.h Applying io_quotes_use to linux/rpmsg.h Applying io_quotes_def to linux/ppp-comp.h Applying io_quotes_use to linux/rfkill.h Applying io_quotes_use to linux/fuse.h Applying io_quotes_use to linux/i2o-dev.h Applying io_quotes_use to linux/dma-heap.h Applying io_quotes_use to linux/surface_aggregator/dtx.h Applying io_quotes_use to linux/surface_aggregator/cdev.h Applying io_quotes_use to linux/raid/md_u.h Applying io_quotes_use to linux/ndctl.h Applying io_quotes_use to linux/loadpin.h Applying io_quotes_use to linux/sev-guest.h "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-rtis.o /<>/builddir/gcc/src/gcc/vhdl/trans-rtis.adb Applying io_quotes_use to linux/omapfb.h Applying io_quotes_use to linux/rio_cm_cdev.h Applying io_quotes_use to linux/ppdev.h Applying io_quotes_use to linux/remoteproc_cdev.h Applying io_quotes_use to linux/wmi.h Applying io_quotes_use to linux/ptp_clock.h Applying io_quotes_use to drm/pvr_drm.h Applying io_quotes_use to drm/xe_drm.h Applying io_quotes_use to drm/nouveau_drm.h Applying io_quotes_use to drm/lima_drm.h Applying io_quotes_use to drm/msm_drm.h Applying io_quotes_use to drm/habanalabs_accel.h Applying io_quotes_use to drm/tegra_drm.h Applying io_quotes_use to drm/radeon_drm.h Applying io_quotes_use to drm/panfrost_drm.h Applying io_quotes_use to drm/drm.h Applying io_quotes_use to drm/qaic_accel.h Applying io_quotes_use to drm/exynos_drm.h Applying io_quotes_use to drm/vc4_drm.h Applying io_quotes_use to drm/v3d_drm.h Applying io_quotes_use to drm/etnaviv_drm.h Applying io_quotes_use to drm/omap_drm.h Applying io_quotes_use to drm/amdgpu_drm.h Applying io_quotes_use to drm/i915_drm.h Applying io_quotes_use to drm/vgem_drm.h Applying io_quotes_use to misc/cxl.h Applying io_quotes_use to misc/ocxl.h Applying io_quotes_use to misc/xilinx_sdfec.h Applying io_quotes_use to scsi/cxlflash_ioctl.h "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans_decls.o /<>/builddir/gcc/src/gcc/vhdl/trans_decls.ads "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb Applying sysv68_string to string.h Applying io_quotes_use to mtd/ubi-user.h Applying sun_malloc to malloc.h Applying machine_name to s390x-linux-gnu/sys/rseq.h Applying io_quotes_use to s390x-linux-gnu/sys/raw.h Applying io_quotes_use to s390x-linux-gnu/sys/mount.h Applying machine_name to s390x-linux-gnu/sys/mount.h Applying machine_name to s390x-linux-gnu/bits/unistd_ext.h Applying machine_name to s390x-linux-gnu/bits/statx.h Applying io_quotes_use to s390x-linux-gnu/asm/cmb.h Applying io_quotes_use to s390x-linux-gnu/asm/zcrypt.h Applying io_quotes_use to s390x-linux-gnu/asm/hwctrset.h Applying io_quotes_use to s390x-linux-gnu/asm/vmcp.h Applying io_quotes_use to s390x-linux-gnu/asm/uvdevice.h Applying io_quotes_use to s390x-linux-gnu/asm/dasd.h Applying io_quotes_use to s390x-linux-gnu/asm/chsc.h Applying io_quotes_use to s390x-linux-gnu/asm/pkey.h Applying io_quotes_use to s390x-linux-gnu/asm/clp.h "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb Applying io_quotes_def to unicode/platform.h "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb Applying io_quotes_use to rdma/rdma_user_ioctl.h "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb Applying io_quotes_use to video/sisfb.h Applying hpux8_bogus_inlines to math.h Applying io_quotes_use to sound/asequencer.h Applying io_quotes_use to sound/asound.h Applying io_quotes_use to sound/emu10k1.h Applying io_quotes_use to sound/compress_offload.h s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto1 \ lto/lto-lang.o lto/lto.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a s390x-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb Applying glibc_stdint to stdint.h Fixing directory /usr/include/llvm-c into /<>/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-18/include/llvm-c Fixing directory /usr/include/clang/18.1.2/include into /<>/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-18/lib/clang/18/include "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto-dump \ lto/lto-lang.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-dump.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb Fixing directory /usr/include/llvm into /<>/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-18/include/llvm "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb Cleaning up unneeded directories: "psl-types.ali" being checked ... -> "psl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-types.o /<>/builddir/gcc/src/gcc/vhdl/psl-types.ads "grt.ali" being checked ... -> "grt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt.o /<>/builddir/gcc/src/gcc/vhdl/grt.ads "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-algos.o /<>/builddir/gcc/src/gcc/vhdl/grt-algos.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/utils_io.o /<>/builddir/gcc/src/gcc/vhdl/utils_io.adb "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-errors.o /<>/builddir/gcc/src/gcc/vhdl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /<>/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-types.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-types.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-hash.o /<>/builddir/gcc/src/gcc/vhdl/psl-hash.adb "mutils.ali" being checked ... -> "mutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/mutils.o /<>/builddir/gcc/src/gcc/vhdl/mutils.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/types_utils.o /<>/builddir/gcc/src/gcc/vhdl/types_utils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/areapools.o /<>/builddir/gcc/src/gcc/vhdl/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-memtype.o /<>/builddir/gcc/src/gcc/vhdl/elab-memtype.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-types.o /<>/builddir/gcc/src/gcc/vhdl/grt-types.ads "lists.ali" being checked ... -> "lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/lists.o /<>/builddir/gcc/src/gcc/vhdl/lists.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.o /<>/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.o /<>/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-comments.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-build.o /<>/builddir/gcc/src/gcc/vhdl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nfas.o /<>/builddir/gcc/src/gcc/vhdl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.o /<>/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.o /<>/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap5.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap5.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap6.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap6.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap9.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap9.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_types.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.o /<>/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.o /<>/builddir/gcc/src/gcc/vhdl/grt-vhdl_types.ads "synth.ali" being checked ... -> "synth.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth.o /<>/builddir/gcc/src/gcc/vhdl/synth.ads "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_eval.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap8.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap8.adb "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /<>/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap14.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap14.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb fixincludes is done echo timestamp > stmp-fixinc if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/s390/s390intrin.h ../../src/gcc/config/s390/htmintrin.h ../../src/gcc/config/s390/htmxlintrin.h ../../src/gcc/config/s390/vecintrin.h; do \ if [ X$file != X.. ]; then \ realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ echo timestamp > include/$realfile; \ rm -f include/$realfile; \ cp $file include; \ chmod a+r include/$realfile; \ fi; \ done "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-std_env.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-subsets.o /<>/builddir/gcc/src/gcc/vhdl/psl-subsets.adb "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sensitivity_checks.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-prints.o /<>/builddir/gcc/src/gcc/vhdl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-priorities.o /<>/builddir/gcc/src/gcc/vhdl/psl-priorities.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /<>/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-c.o /<>/builddir/gcc/src/gcc/vhdl/grt-c.ads "flists.ali" being checked ... -> "flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/flists.o /<>/builddir/gcc/src/gcc/vhdl/flists.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-cse.o /<>/builddir/gcc/src/gcc/vhdl/psl-cse.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.o /<>/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb for file in .. ; do \ if [ X$file != X.. ]; then \ mv include/$file include/x_$file; \ echo "#include_next <$file>" >include/$file; \ cat include/x_$file >>include/$file; \ rm -f include/x_$file; \ chmod a+r include/$file; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ echo "#include_next <$file>" >>include/$file; \ chmod a+r include/$file; \ fi; \ done "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-optimize.o /<>/builddir/gcc/src/gcc/vhdl/psl-optimize.adb rm -f include/stdint.h if [ wrap = wrap ]; then \ rm -f include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ chmod a+r include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ chmod a+r include/stdint.h; \ elif [ wrap = provide ]; then \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ chmod a+r include/stdint.h; \ fi set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ include_dir=include${multi_dir}; \ if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/s390x-linux-gnu/limits.h ] ; then \ cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ else \ cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${include_dir}; \ chmod a+rx ${include_dir} || true; \ /bin/bash ../../src/gcc/../move-if-change \ tmp-xlimits.h tmp-limits.h; \ rm -f ${include_dir}/limits.h; \ cp -p tmp-limits.h ${include_dir}/limits.h; \ chmod a+r ${include_dir}/limits.h; \ cp ../../src/gcc/gsyslimits.h ${include_dir}/syslimits.h; \ done "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-qm.o /<>/builddir/gcc/src/gcc/vhdl/psl-qm.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-strings.o /<>/builddir/gcc/src/gcc/vhdl/grt-strings.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_errors.adb if [ xstmp-fixinc != x ]; then \ rm -f include-fixed/README; \ cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README; \ chmod a+r include-fixed/README; \ fi; "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_expr.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb echo timestamp > stmp-int-hdrs /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-errors.o /<>/builddir/gcc/src/gcc/vhdl/synth-errors.adb cc1: note: self-tests are not enabled in this build echo timestamp > s-selftest-c "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_context.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_context.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /<>/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-arith.o /<>/builddir/gcc/src/gcc/vhdl/grt-arith.adb "netlists.ali" being checked ... -> "netlists.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists.o /<>/builddir/gcc/src/gcc/vhdl/netlists.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_arith.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-source.o /<>/builddir/gcc/src/gcc/vhdl/synth-source.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_files.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_insts.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-files.o /<>/builddir/gcc/src/gcc/vhdl/grt-files.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_heap.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.o /<>/builddir/gcc/src/gcc/vhdl/grt-rstrings.adb "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-folds.o /<>/builddir/gcc/src/gcc/vhdl/netlists-folds.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-locations.o /<>/builddir/gcc/src/gcc/vhdl/netlists-locations.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-builders.o /<>/builddir/gcc/src/gcc/vhdl/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-context.o /<>/builddir/gcc/src/gcc/vhdl/synth-context.ads "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_annotations.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-gates.o /<>/builddir/gcc/src/gcc/vhdl/netlists-gates.ads "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-utils.o /<>/builddir/gcc/src/gcc/vhdl/netlists-utils.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_oper.adb "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-utils.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.o /<>/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-stdio.o /<>/builddir/gcc/src/gcc/vhdl/grt-stdio.ads "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_stmts.adb "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_prot.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-flags.o /<>/builddir/gcc/src/gcc/vhdl/synth-flags.ads "grt-table.ali" being checked ... -> "grt-table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-table.o /<>/builddir/gcc/src/gcc/vhdl/grt-table.adb "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_utils.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-debugger.o /<>/builddir/gcc/src/gcc/vhdl/elab-debugger.adb "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_debug.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-severity.o /<>/builddir/gcc/src/gcc/vhdl/grt-severity.ads "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_foreign.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-environment.o /<>/builddir/gcc/src/gcc/vhdl/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.o /<>/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-concats.o /<>/builddir/gcc/src/gcc/vhdl/netlists-concats.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-dump.o /<>/builddir/gcc/src/gcc/vhdl/netlists-dump.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.o /<>/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-inference.o /<>/builddir/gcc/src/gcc/vhdl/netlists-inference.adb "debuggers.ali" being checked ... -> "debuggers.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/debuggers.o /<>/builddir/gcc/src/gcc/vhdl/debuggers.adb "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_context-debug.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-dynload.o /<>/builddir/gcc/src/gcc/vhdl/grt-dynload.ads "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-readline.o /<>/builddir/gcc/src/gcc/vhdl/grt-readline.ads "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.o /<>/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-errors.o /<>/builddir/gcc/src/gcc/vhdl/netlists-errors.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-internings.o /<>/builddir/gcc/src/gcc/vhdl/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-memories.o /<>/builddir/gcc/src/gcc/vhdl/netlists-memories.adb "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.o /<>/builddir/gcc/src/gcc/vhdl/grt-readline_none.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synthesis.o /<>/builddir/gcc/src/gcc/vhdl/synthesis.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.o /<>/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-expands.o /<>/builddir/gcc/src/gcc/vhdl/netlists-expands.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_insts.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-butils.o /<>/builddir/gcc/src/gcc/vhdl/netlists-butils.adb "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_exprs.adb "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_sources.adb "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_stmts.adb "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_values.adb "verilog.ali" being checked ... -> "verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog.o /<>/builddir/gcc/src/gcc/vhdl/verilog.ads "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.o /<>/builddir/gcc/src/gcc/vhdl/verilog-allocates.adb "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.o /<>/builddir/gcc/src/gcc/vhdl/verilog-bignums.adb "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.o /<>/builddir/gcc/src/gcc/vhdl/verilog-disp_verilog.adb "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.o /<>/builddir/gcc/src/gcc/vhdl/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-errors.o /<>/builddir/gcc/src/gcc/vhdl/verilog-errors.adb "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.o /<>/builddir/gcc/src/gcc/vhdl/verilog-nodes.adb "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.o /<>/builddir/gcc/src/gcc/vhdl/verilog-nutils.adb "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem.adb "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_instances.adb "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_names.adb "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-storages.o /<>/builddir/gcc/src/gcc/vhdl/verilog-storages.adb "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.o /<>/builddir/gcc/src/gcc/vhdl/verilog-vpi.adb "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-types.o /<>/builddir/gcc/src/gcc/vhdl/verilog-types.ads "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_utils.adb "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_errors.adb "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-executions.o /<>/builddir/gcc/src/gcc/vhdl/verilog-executions.adb "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-standard.o /<>/builddir/gcc/src/gcc/vhdl/verilog-standard.ads verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_scopes.adb "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-abi.o /<>/builddir/gcc/src/gcc/vhdl/verilog-abi.ads "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_types.adb "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.o /<>/builddir/gcc/src/gcc/vhdl/verilog-simulation.adb "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_arrays.adb "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_queues.adb "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_strings.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.o /<>/builddir/gcc/src/gcc/vhdl/verilog-tokens.adb "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.o /<>/builddir/gcc/src/gcc/vhdl/verilog-disp_tree.adb "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-flags.o /<>/builddir/gcc/src/gcc/vhdl/verilog-flags.ads "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.o /<>/builddir/gcc/src/gcc/vhdl/verilog-resolve_names.adb "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_decls.adb "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_stmts.adb "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_upwards.adb "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.o /<>/builddir/gcc/src/gcc/vhdl/verilog-nodes_meta.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-astdio.o /<>/builddir/gcc/src/gcc/vhdl/grt-astdio.adb "name_maps.ali" being checked ... -> "name_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/name_maps.o /<>/builddir/gcc/src/gcc/vhdl/name_maps.adb "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-parse.o /<>/builddir/gcc/src/gcc/vhdl/verilog-parse.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.o /<>/builddir/gcc/src/gcc/vhdl/verilog-debugger.adb "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-scans.o /<>/builddir/gcc/src/gcc/vhdl/verilog-scans.adb "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.o /<>/builddir/gcc/src/gcc/vhdl/verilog-bn_tables.ads "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-macros.o /<>/builddir/gcc/src/gcc/vhdl/verilog-macros.ads End of compilation gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation "ghdl1" missing. s390x-linux-gnu-gnatbind-13 -aI../../src/gcc/vhdl -aOvhdl -E -x /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali s390x-linux-gnu-gnatlink-13 /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... -> "ghdl_gcc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/build/gcc/vhdl/default_paths.ads "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb "ghdllib.ali" being checked ... -> "ghdllib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllib.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb default_paths.ads:18:01: (style) horizontal tab not allowed default_paths.ads:19:01: (style) horizontal tab not allowed "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlverilog.adb "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/verilog-find_top.adb "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/verilog-vhdl_export.adb "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-rename.adb "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation s390x-linux-gnu-gnatbind-13 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali s390x-linux-gnu-gnatlink-13 ghdl_gcc.ali -o ghdl --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -R rm cpp.pod gcc.pod make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... mkdir -p -- s390x-linux-gnu/libgcc Configuring in s390x-linux-gnu/libgcc make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' s390x-linux-gnu-g++-13 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF server.d -c -o server.o ../../src/c++tools/server.cc s390x-linux-gnu-g++-13 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/c++tools/resolver.cc make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o configure: creating cache ./config.cache checking build system type... s390x-ibm-linux-gnu checking host system type... s390x-ibm-linux-gnu checking for --enable-version-specific-runtime-libs... no checking for a BSD-compatible install... /usr/bin/install -c checking for gawk... gawk checking for s390x-linux-gnu-ar... s390x-linux-gnu-ar checking for s390x-linux-gnu-lipo... lipo checking for s390x-linux-gnu-nm... /<>/builddir/gcc/build/./gcc/nm checking for s390x-linux-gnu-ranlib... s390x-linux-gnu-ranlib checking for s390x-linux-gnu-strip... s390x-linux-gnu-strip checking whether ln -s works... yes checking for s390x-linux-gnu-gcc... /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include accepts -g... yes checking for /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include option to accept ISO C89... none needed checking how to run the C preprocessor... ../../src/c++tools/server.cc: In function ‘void server(bool, int, module_resolver*)’: ../../src/c++tools/server.cc:620:10: warning: ignoring return value of ‘int pipe(int*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 620 | pipe (term_pipe); | ~~~~~^~~~~~~~~~~ ../../src/c++tools/server.cc: In function ‘void term_signal(int)’: ../../src/c++tools/server.cc:490:11: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 490 | write (term_pipe[1], &term_pipe[1], 1); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -E mv -f .deps/findcomp.Tpo .deps/findcomp.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o no checking size of double... 8 checking size of long double... 16 checking for inttypes.h... yes checking for stdint.h... yes checking for stdlib.h... yes checking for ftw.h... yes checking for unistd.h... yes checking for sys/stat.h... yes /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc checking for sys/types.h... libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o yes checking for string.h... s390x-linux-gnu-g++-13 -static-libstdc++ -static-libgcc -fPIE -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/libiberty.a yes checking for strings.h... yes checking for memory.h... /usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc yes checking for sys/auxv.h... libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc -fPIC -DPIC -o .libs/compiler.o yes checking for sys/mman.h... yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking whether decimal floating point is supported... yes checking whether fixed-point is supported... no checking whether the compiler is configured for setjmp/longjmp exceptions... no checking for CET support... no checking if the linker (/<>/builddir/gcc/build/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes checking for target glibc version... mv -f .deps/compiler.Tpo .deps/compiler.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o mv -f .deps/names.Tpo .deps/names.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o 2.39 checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... mv -f .deps/libcc1.Tpo .deps/libcc1.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc mv -f .deps/callbacks.Tpo .deps/callbacks.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o yes checking whether the thread-local storage support is from emutls... no checking for init priority support... libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile config.status: creating auto-target.h config.status: executing default commands WARNING: biarch_multidir_names is unset. Use default value: libiberty libstdc++-v3 libgfortran libmudflap libssp libffi libobjc libgomp mv -f .deps/marshall.Tpo .deps/marshall.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc Adding multilib support to Makefile in ../../../src/libgcc multidirs= with_multisubdir= libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o make[4]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' # If this is the top-level multilib, build all the other # multilibs. make[5]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[5]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c mv -f .deps/connection.Tpo .deps/connection.Plo ln -s ../../../src/libgcc/unwind-generic.h unwind.h ln -s ../../../src/libgcc/config/s390/linux-unwind.h md-unwind-support.h /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc ln -s ../../../src/libgcc/config/no-sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h /bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h echo timestamp > libgcc_tm.stamp libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c ../../src/libcc1/context.cc -fPIC -DPIC -o .libs/context.o /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o morestack_s.o -MT morestack_s.o -MD -MP -MF morestack_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/s390/morestack.S ../../../src/libgcc/config/s390/morestack.S: Assembler messages: ../../../src/libgcc/config/s390/morestack.S:600: Warning: ignoring incorrect section type for .init_array.00000 sed -e 's/__PFX__/__/g' \ -e 's/__FIXPTPFX__/__/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /<>/builddir/gcc/build/./gcc/nm -pg morestack_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > morestack.visT mv -f morestack.visT morestack.vis /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c mv -f .deps/libcp1.Tpo .deps/libcp1.Plo /bin/bash ./libtool --tag=CXX --mode=compile s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc libtool: compile: s390x-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c mv -f .deps/context.Tpo .deps/context.Plo /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_topn_values_profiler_atomic.o -MT _gcov_topn_values_profiler_atomic.o -MD -MP -MF _gcov_topn_values_profiler_atomic.dep -DL_gcov_topn_values_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_ior_profiler.o -MT _gcov_ior_profiler.o -MD -MP -MF _gcov_ior_profiler.dep -DL_gcov_ior_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_ior_profiler_atomic.o -MT _gcov_ior_profiler_atomic.o -MD -MP -MF _gcov_ior_profiler_atomic.dep -DL_gcov_ior_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_indirect_call_profiler_v4.o -MT _gcov_indirect_call_profiler_v4.o -MD -MP -MF _gcov_indirect_call_profiler_v4.dep -DL_gcov_indirect_call_profiler_v4 -c ../../../src/libgcc/libgcov-profiler.c /bin/bash ./libtool --tag=CXX --mode=link s390x-linux-gnu-g++-13 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a libtool: link: s390x-linux-gnu-g++-13 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu/crti.o /usr/lib/gcc/s390x-linux-gnu/13/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/s390x-linux-gnu/13 -L/usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu -L/usr/lib/gcc/s390x-linux-gnu/13/../../../../lib -L/lib/s390x-linux-gnu -L/lib/../lib -L/usr/lib/s390x-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/s390x-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/s390x-linux-gnu/13/crtendS.o /usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_time_profiler.o -MT _gcov_time_profiler.o -MD -MP -MF _gcov_time_profiler.dep -DL_gcov_time_profiler -c ../../../src/libgcc/libgcov-profiler.c libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_dump.o -MT _gcov_dump.o -MD -MP -MF _gcov_dump.dep -DL_gcov_dump -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_fork.o -MT _gcov_fork.o -MD -MP -MF _gcov_fork.dep -DL_gcov_fork -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execl.o -MT _gcov_execl.o -MD -MP -MF _gcov_execl.dep -DL_gcov_execl -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execlp.o -MT _gcov_execlp.o -MD -MP -MF _gcov_execlp.dep -DL_gcov_execlp -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execle.o -MT _gcov_execle.o -MD -MP -MF _gcov_execle.dep -DL_gcov_execle -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execv.o -MT _gcov_execv.o -MD -MP -MF _gcov_execv.dep -DL_gcov_execv -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execvp.o -MT _gcov_execvp.o -MD -MP -MF _gcov_execvp.dep -DL_gcov_execvp -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execve.o -MT _gcov_execve.o -MD -MP -MF _gcov_execve.dep -DL_gcov_execve -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_reset.o -MT _gcov_reset.o -MD -MP -MF _gcov_reset.dep -DL_gcov_reset -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_lock_unlock.o -MT _gcov_lock_unlock.o -MD -MP -MF _gcov_lock_unlock.dep -DL_gcov_lock_unlock -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov.o -MT _gcov.o -MD -MP -MF _gcov.dep -DL_gcov -c ../../../src/libgcc/libgcov-driver.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_info_to_gcda.o -MT _gcov_info_to_gcda.o -MD -MP -MF _gcov_info_to_gcda.dep -DL_gcov_info_to_gcda -c ../../../src/libgcc/libgcov-driver.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2.o -MT unwind-dw2.o -MD -MP -MF unwind-dw2.dep -fexceptions -c ../../../src/libgcc/unwind-dw2.c -fvisibility=hidden -DHIDE_EXPORTS mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2-fde-dip.o -MT unwind-dw2-fde-dip.o -MD -MP -MF unwind-dw2-fde-dip.dep -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c -fvisibility=hidden -DHIDE_EXPORTS /bin/bash ./libtool --tag=CXX --mode=link s390x-linux-gnu-g++-13 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a libtool: link: s390x-linux-gnu-g++-13 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu/crti.o /usr/lib/gcc/s390x-linux-gnu/13/crtbeginS.o .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/s390x-linux-gnu/13 -L/usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu -L/usr/lib/gcc/s390x-linux-gnu/13/../../../../lib -L/lib/s390x-linux-gnu -L/lib/../lib -L/usr/lib/s390x-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/s390x-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/s390x-linux-gnu/13/crtendS.o /usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-sjlj.o -MT unwind-sjlj.o -MD -MP -MF unwind-sjlj.dep -fexceptions -c ../../../src/libgcc/unwind-sjlj.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-c.o -MT unwind-c.o -MD -MP -MF unwind-c.dep -fexceptions -c ../../../src/libgcc/unwind-c.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o emutls.o -MT emutls.o -MD -MP -MF emutls.dep -fexceptions -c ../../../src/libgcc/emutls.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldi3_s.o -MT _muldi3_s.o -MD -MP -MF _muldi3_s.dep -DSHARED -DL_muldi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negdi2_s.o -MT _negdi2_s.o -MD -MP -MF _negdi2_s.dep -DSHARED -DL_negdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _cmpdi2_s.o -MT _cmpdi2_s.o -MD -MP -MF _cmpdi2_s.dep -DSHARED -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ucmpdi2_s.o -MT _ucmpdi2_s.o -MD -MP -MF _ucmpdi2_s.dep -DSHARED -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -DL_clear_cache -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _trampoline_s.o -MT _trampoline_s.o -MD -MP -MF _trampoline_s.dep -DSHARED -DL_trampoline -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __main_s.o -MT __main_s.o -MD -MP -MF __main_s.dep -DSHARED -DL__main -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvsi2_s.o -MT _absvsi2_s.o -MD -MP -MF _absvsi2_s.dep -DSHARED -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvdi2_s.o -MT _absvdi2_s.o -MD -MP -MF _absvdi2_s.dep -DSHARED -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvsi3_s.o -MT _addvsi3_s.o -MD -MP -MF _addvsi3_s.dep -DSHARED -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvdi3_s.o -MT _addvdi3_s.o -MD -MP -MF _addvdi3_s.dep -DSHARED -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvsi3_s.o -MT _subvsi3_s.o -MD -MP -MF _subvsi3_s.dep -DSHARED -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvdi3_s.o -MT _subvdi3_s.o -MD -MP -MF _subvdi3_s.dep -DSHARED -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvsi3_s.o -MT _mulvsi3_s.o -MD -MP -MF _mulvsi3_s.dep -DSHARED -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvdi3_s.o -MT _mulvdi3_s.o -MD -MP -MF _mulvdi3_s.dep -DSHARED -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvsi2_s.o -MT _negvsi2_s.o -MD -MP -MF _negvsi2_s.dep -DSHARED -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvdi2_s.o -MT _negvdi2_s.o -MD -MP -MF _negvdi2_s.dep -DSHARED -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctors_s.o -MT _ctors_s.o -MD -MP -MF _ctors_s.dep -DSHARED -DL_ctors -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffssi2_s.o -MT _ffssi2_s.o -MD -MP -MF _ffssi2_s.dep -DSHARED -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffsdi2_s.o -MT _ffsdi2_s.o -MD -MP -MF _ffsdi2_s.dep -DSHARED -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clz_s.o -MT _clz_s.o -MD -MP -MF _clz_s.dep -DSHARED -DL_clz -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzdi2_s.o -MT _ctzdi2_s.o -MD -MP -MF _ctzdi2_s.dep -DSHARED -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcount_tab_s.o -MT _popcount_tab_s.o -MD -MP -MF _popcount_tab_s.dep -DSHARED -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountsi2_s.o -MT _popcountsi2_s.o -MD -MP -MF _popcountsi2_s.dep -DSHARED -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountdi2_s.o -MT _popcountdi2_s.o -MD -MP -MF _popcountdi2_s.dep -DSHARED -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritysi2_s.o -MT _paritysi2_s.o -MD -MP -MF _paritysi2_s.dep -DSHARED -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritydi2_s.o -MT _paritydi2_s.o -MD -MP -MF _paritydi2_s.dep -DSHARED -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powisf2_s.o -MT _powisf2_s.o -MD -MP -MF _powisf2_s.dep -DSHARED -DL_powisf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powidf2_s.o -MT _powidf2_s.o -MD -MP -MF _powidf2_s.dep -DSHARED -DL_powidf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powixf2_s.o -MT _powixf2_s.o -MD -MP -MF _powixf2_s.dep -DSHARED -DL_powixf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powitf2_s.o -MT _powitf2_s.o -MD -MP -MF _powitf2_s.dep -DSHARED -DL_powitf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulhc3_s.o -MT _mulhc3_s.o -MD -MP -MF _mulhc3_s.dep -DSHARED -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulsc3_s.o -MT _mulsc3_s.o -MD -MP -MF _mulsc3_s.dep -DSHARED -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldc3_s.o -MT _muldc3_s.o -MD -MP -MF _muldc3_s.dep -DSHARED -DL_muldc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulxc3_s.o -MT _mulxc3_s.o -MD -MP -MF _mulxc3_s.dep -DSHARED -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _multc3_s.o -MT _multc3_s.o -MD -MP -MF _multc3_s.dep -DSHARED -DL_multc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divhc3_s.o -MT _divhc3_s.o -MD -MP -MF _divhc3_s.dep -DSHARED -DL_divhc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divsc3_s.o -MT _divsc3_s.o -MD -MP -MF _divsc3_s.dep -DSHARED -DL_divsc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdc3_s.o -MT _divdc3_s.o -MD -MP -MF _divdc3_s.dep -DSHARED -DL_divdc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divxc3_s.o -MT _divxc3_s.o -MD -MP -MF _divxc3_s.dep -DSHARED -DL_divxc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divtc3_s.o -MT _divtc3_s.o -MD -MP -MF _divtc3_s.dep -DSHARED -DL_divtc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapsi2_s.o -MT _bswapsi2_s.o -MD -MP -MF _bswapsi2_s.dep -DSHARED -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapdi2_s.o -MT _bswapdi2_s.o -MD -MP -MF _bswapdi2_s.dep -DSHARED -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbsi2_s.o -MT _clrsbsi2_s.o -MD -MP -MF _clrsbsi2_s.dep -DSHARED -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbdi2_s.o -MT _clrsbdi2_s.o -MD -MP -MF _clrsbdi2_s.dep -DSHARED -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfsi_s.o -MT _fixunssfsi_s.o -MD -MP -MF _fixunssfsi_s.dep -DSHARED -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfsi_s.o -MT _fixunsdfsi_s.o -MD -MP -MF _fixunsdfsi_s.dep -DSHARED -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfsi_s.o -MT _fixunsxfsi_s.o -MD -MP -MF _fixunsxfsi_s.dep -DSHARED -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfdi_s.o -MT _fixsfdi_s.o -MD -MP -MF _fixsfdi_s.dep -DSHARED -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfdi_s.o -MT _fixdfdi_s.o -MD -MP -MF _fixdfdi_s.dep -DSHARED -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfdi_s.o -MT _fixxfdi_s.o -MD -MP -MF _fixxfdi_s.dep -DSHARED -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixtfdi_s.o -MT _fixtfdi_s.o -MD -MP -MF _fixtfdi_s.dep -DSHARED -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfdi_s.o -MT _fixunssfdi_s.o -MD -MP -MF _fixunssfdi_s.dep -DSHARED -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfdi_s.o -MT _fixunsdfdi_s.o -MD -MP -MF _fixunsdfdi_s.dep -DSHARED -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfdi_s.o -MT _fixunsxfdi_s.o -MD -MP -MF _fixunsxfdi_s.dep -DSHARED -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunstfdi_s.o -MT _fixunstfdi_s.o -MD -MP -MF _fixunstfdi_s.dep -DSHARED -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdisf_s.o -MT _floatdisf_s.o -MD -MP -MF _floatdisf_s.dep -DSHARED -DL_floatdisf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdidf_s.o -MT _floatdidf_s.o -MD -MP -MF _floatdidf_s.dep -DSHARED -DL_floatdidf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdixf_s.o -MT _floatdixf_s.o -MD -MP -MF _floatdixf_s.dep -DSHARED -DL_floatdixf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatditf_s.o -MT _floatditf_s.o -MD -MP -MF _floatditf_s.dep -DSHARED -DL_floatditf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundisf_s.o -MT _floatundisf_s.o -MD -MP -MF _floatundisf_s.dep -DSHARED -DL_floatundisf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundidf_s.o -MT _floatundidf_s.o -MD -MP -MF _floatundidf_s.dep -DSHARED -DL_floatundidf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundixf_s.o -MT _floatundixf_s.o -MD -MP -MF _floatundixf_s.dep -DSHARED -DL_floatundixf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatunditf_s.o -MT _floatunditf_s.o -MD -MP -MF _floatunditf_s.dep -DSHARED -DL_floatunditf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdi3_s.o -MT _divdi3_s.o -MD -MP -MF _divdi3_s.dep -DSHARED -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _moddi3_s.o -MT _moddi3_s.o -MD -MP -MF _moddi3_s.dep -DSHARED -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmoddi4_s.o -MT _divmoddi4_s.o -MD -MP -MF _divmoddi4_s.dep -DSHARED -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivdi3_s.o -MT _udivdi3_s.o -MD -MP -MF _udivdi3_s.dep -DSHARED -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _umoddi3_s.o -MT _umoddi3_s.o -MD -MP -MF _umoddi3_s.dep -DSHARED -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivmoddi4_s.o -MT _udivmoddi4_s.o -MD -MP -MF _udivmoddi4_s.dep -DSHARED -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udiv_w_sdiv_s.o -MT _udiv_w_sdiv_s.o -MD -MP -MF _udiv_w_sdiv_s.dep -DSHARED -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o enable-execute-stack_s.o -MT enable-execute-stack_s.o -MD -MP -MF enable-execute-stack_s.dep -DSHARED -c enable-execute-stack.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2_s.o -MT unwind-dw2_s.o -MD -MP -MF unwind-dw2_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2-fde-dip_s.o -MT unwind-dw2-fde-dip_s.o -MD -MP -MF unwind-dw2-fde-dip_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-sjlj_s.o -MT unwind-sjlj_s.o -MD -MP -MF unwind-sjlj_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-sjlj.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-c_s.o -MT unwind-c_s.o -MD -MP -MF unwind-c_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-c.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o emutls_s.o -MT emutls_s.o -MD -MP -MF emutls_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/emutls.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbegin.o -MT crtbegin.o -MD -MP -MF crtbegin.dep -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo /bin/bash ./libtool --tag=CXX --mode=link s390x-linux-gnu-g++-13 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbeginS.o -MT crtbeginS.o -MD -MP -MF crtbeginS.dep -fno-asynchronous-unwind-tables -fPIC -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFS_O libtool: link: s390x-linux-gnu-g++-13 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu/crti.o /usr/lib/gcc/s390x-linux-gnu/13/crtbeginS.o .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/s390x-linux-gnu/13 -L/usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu -L/usr/lib/gcc/s390x-linux-gnu/13/../../../../lib -L/lib/s390x-linux-gnu -L/lib/../lib -L/usr/lib/s390x-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/s390x-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/s390x-linux-gnu/13/crtendS.o /usr/lib/gcc/s390x-linux-gnu/13/../../../s390x-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbeginT.o -MT crtbeginT.o -MD -MP -MF crtbeginT.dep -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFT_O /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtend.o -MT crtend.o -MD -MP -MF crtend.dep -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_END libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtendS.o -MT crtendS.o -MD -MP -MF crtendS.dep -fno-asynchronous-unwind-tables -fPIC -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_END -DCRTSTUFFS_O { cat libgcc-std.ver ../../../src/libgcc/config/s390/libgcc-glibc.ver \ | sed -e '/^[ ]*#/d' \ -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \ | /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -E -xassembler-with-cpp -; \ } > tmp-libgcc.map.in mv tmp-libgcc.map.in libgcc.map.in /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clear_cache.o -MT _clear_cache.o -MD -MP -MF _clear_cache.dep -DL_clear_cache -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _trampoline.o -MT _trampoline.o -MD -MP -MF _trampoline.dep -DL_trampoline -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __main.o -MT __main.o -MD -MP -MF __main.dep -DL__main -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvsi2.o -MT _absvsi2.o -MD -MP -MF _absvsi2.dep -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvdi2.o -MT _absvdi2.o -MD -MP -MF _absvdi2.dep -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvsi3.o -MT _addvsi3.o -MD -MP -MF _addvsi3.dep -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvdi3.o -MT _addvdi3.o -MD -MP -MF _addvdi3.dep -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvsi3.o -MT _subvsi3.o -MD -MP -MF _subvsi3.dep -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvdi3.o -MT _subvdi3.o -MD -MP -MF _subvdi3.dep -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvsi3.o -MT _mulvsi3.o -MD -MP -MF _mulvsi3.dep -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvdi3.o -MT _mulvdi3.o -MD -MP -MF _mulvdi3.dep -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvsi2.o -MT _negvsi2.o -MD -MP -MF _negvsi2.dep -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvdi2.o -MT _negvdi2.o -MD -MP -MF _negvdi2.dep -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctors.o -MT _ctors.o -MD -MP -MF _ctors.dep -DL_ctors -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffssi2.o -MT _ffssi2.o -MD -MP -MF _ffssi2.dep -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffsdi2.o -MT _ffsdi2.o -MD -MP -MF _ffsdi2.dep -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clz.o -MT _clz.o -MD -MP -MF _clz.dep -DL_clz -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzsi2.o -MT _clzsi2.o -MD -MP -MF _clzsi2.dep -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzdi2.o -MT _clzdi2.o -MD -MP -MF _clzdi2.dep -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzsi2.o -MT _ctzsi2.o -MD -MP -MF _ctzsi2.dep -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzdi2.o -MT _ctzdi2.o -MD -MP -MF _ctzdi2.dep -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcount_tab.o -MT _popcount_tab.o -MD -MP -MF _popcount_tab.dep -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountsi2.o -MT _popcountsi2.o -MD -MP -MF _popcountsi2.dep -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountdi2.o -MT _popcountdi2.o -MD -MP -MF _popcountdi2.dep -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritysi2.o -MT _paritysi2.o -MD -MP -MF _paritysi2.dep -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritydi2.o -MT _paritydi2.o -MD -MP -MF _paritydi2.dep -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powisf2.o -MT _powisf2.o -MD -MP -MF _powisf2.dep -DL_powisf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powidf2.o -MT _powidf2.o -MD -MP -MF _powidf2.dep -DL_powidf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powixf2.o -MT _powixf2.o -MD -MP -MF _powixf2.dep -DL_powixf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powitf2.o -MT _powitf2.o -MD -MP -MF _powitf2.dep -DL_powitf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulhc3.o -MT _mulhc3.o -MD -MP -MF _mulhc3.dep -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulsc3.o -MT _mulsc3.o -MD -MP -MF _mulsc3.dep -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldc3.o -MT _muldc3.o -MD -MP -MF _muldc3.dep -DL_muldc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulxc3.o -MT _mulxc3.o -MD -MP -MF _mulxc3.dep -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _multc3.o -MT _multc3.o -MD -MP -MF _multc3.dep -DL_multc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divhc3.o -MT _divhc3.o -MD -MP -MF _divhc3.dep -DL_divhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divsc3.o -MT _divsc3.o -MD -MP -MF _divsc3.dep -DL_divsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdc3.o -MT _divdc3.o -MD -MP -MF _divdc3.dep -DL_divdc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divxc3.o -MT _divxc3.o -MD -MP -MF _divxc3.dep -DL_divxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divtc3.o -MT _divtc3.o -MD -MP -MF _divtc3.dep -DL_divtc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapsi2.o -MT _bswapsi2.o -MD -MP -MF _bswapsi2.dep -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapdi2.o -MT _bswapdi2.o -MD -MP -MF _bswapdi2.dep -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbsi2.o -MT _clrsbsi2.o -MD -MP -MF _clrsbsi2.dep -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbdi2.o -MT _clrsbdi2.o -MD -MP -MF _clrsbdi2.dep -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfsi.o -MT _fixunssfsi.o -MD -MP -MF _fixunssfsi.dep -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfsi.o -MT _fixunsdfsi.o -MD -MP -MF _fixunsdfsi.dep -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfsi.o -MT _fixunsxfsi.o -MD -MP -MF _fixunsxfsi.dep -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfdi.o -MT _fixsfdi.o -MD -MP -MF _fixsfdi.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfdi.o -MT _fixdfdi.o -MD -MP -MF _fixdfdi.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfdi.o -MT _fixxfdi.o -MD -MP -MF _fixxfdi.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixtfdi.o -MT _fixtfdi.o -MD -MP -MF _fixtfdi.dep -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfdi.o -MT _fixunssfdi.o -MD -MP -MF _fixunssfdi.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfdi.o -MT _fixunsdfdi.o -MD -MP -MF _fixunsdfdi.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfdi.o -MT _fixunsxfdi.o -MD -MP -MF _fixunsxfdi.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunstfdi.o -MT _fixunstfdi.o -MD -MP -MF _fixunstfdi.dep -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdisf.o -MT _floatdisf.o -MD -MP -MF _floatdisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdidf.o -MT _floatdidf.o -MD -MP -MF _floatdidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdixf.o -MT _floatdixf.o -MD -MP -MF _floatdixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatditf.o -MT _floatditf.o -MD -MP -MF _floatditf.dep -DL_floatditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundisf.o -MT _floatundisf.o -MD -MP -MF _floatundisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundidf.o -MT _floatundidf.o -MD -MP -MF _floatundidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundixf.o -MT _floatundixf.o -MD -MP -MF _floatundixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatunditf.o -MT _floatunditf.o -MD -MP -MF _floatunditf.dep -DL_floatunditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eprintf.o -MT _eprintf.o -MD -MP -MF _eprintf.dep -DL_eprintf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __gcc_bcmp.o -MT __gcc_bcmp.o -MD -MP -MF __gcc_bcmp.dep -DL__gcc_bcmp -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdi3.o -MT _divdi3.o -MD -MP -MF _divdi3.dep -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _moddi3.o -MT _moddi3.o -MD -MP -MF _moddi3.dep -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmoddi4.o -MT _divmoddi4.o -MD -MP -MF _divmoddi4.dep -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivdi3.o -MT _udivdi3.o -MD -MP -MF _udivdi3.dep -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _umoddi3.o -MT _umoddi3.o -MD -MP -MF _umoddi3.dep -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivmoddi4.o -MT _udivmoddi4.o -MD -MP -MF _udivmoddi4.dep -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udiv_w_sdiv.o -MT _udiv_w_sdiv.o -MD -MP -MF _udiv_w_sdiv.dep -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decContext.o -MT decContext.o -MD -MP -MF decContext.dep -c ../../../src/libgcc/../libdecnumber/decContext.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decNumber.o -MT decNumber.o -MD -MP -MF decNumber.dep -c ../../../src/libgcc/../libdecnumber/decNumber.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decExcept.o -MT decExcept.o -MD -MP -MF decExcept.dep -c ../../../src/libgcc/../libdecnumber/decExcept.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decRound.o -MT decRound.o -MD -MP -MF decRound.dep -c ../../../src/libgcc/../libdecnumber/decRound.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decLibrary.o -MT decLibrary.o -MD -MP -MF decLibrary.dep -c ../../../src/libgcc/../libdecnumber/decLibrary.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decDouble.o -MT decDouble.o -MD -MP -MF decDouble.dep -c ../../../src/libgcc/../libdecnumber/decDouble.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decPacked.o -MT decPacked.o -MD -MP -MF decPacked.dep -c ../../../src/libgcc/../libdecnumber/decPacked.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decQuad.o -MT decQuad.o -MD -MP -MF decQuad.dep -c ../../../src/libgcc/../libdecnumber/decQuad.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decSingle.o -MT decSingle.o -MD -MP -MF decSingle.dep -c ../../../src/libgcc/../libdecnumber/decSingle.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal32.o -MT decimal32.o -MD -MP -MF decimal32.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal32.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal64.o -MT decimal64.o -MD -MP -MF decimal64.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal128.o -MT decimal128.o -MD -MP -MF decimal128.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal128.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_sd.o -MT _addsub_sd.o -MD -MP -MF _addsub_sd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_sd.o -MT _div_sd.o -MD -MP -MF _div_sd.dep -DFINE_GRAINED_LIBRARIES -DL_div_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_sd.o -MT _mul_sd.o -MD -MP -MF _mul_sd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_sd.o -MT _plus_sd.o -MD -MP -MF _plus_sd.dep -DFINE_GRAINED_LIBRARIES -DL_plus_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_sd.o -MT _minus_sd.o -MD -MP -MF _minus_sd.dep -DFINE_GRAINED_LIBRARIES -DL_minus_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_sd.o -MT _eq_sd.o -MD -MP -MF _eq_sd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_sd.o -MT _ne_sd.o -MD -MP -MF _ne_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_sd.o -MT _lt_sd.o -MD -MP -MF _lt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_sd.o -MT _gt_sd.o -MD -MP -MF _gt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_sd.o -MT _le_sd.o -MD -MP -MF _le_sd.dep -DFINE_GRAINED_LIBRARIES -DL_le_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_sd.o -MT _ge_sd.o -MD -MP -MF _ge_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_si.o -MT _sd_to_si.o -MD -MP -MF _sd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_si -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_di.o -MT _sd_to_di.o -MD -MP -MF _sd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_di -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_usi.o -MT _sd_to_usi.o -MD -MP -MF _sd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_usi -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_udi.o -MT _sd_to_udi.o -MD -MP -MF _sd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_udi -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_sd.o -MT _si_to_sd.o -MD -MP -MF _si_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_sd.o -MT _di_to_sd.o -MD -MP -MF _di_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_sd.o -MT _usi_to_sd.o -MD -MP -MF _usi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_sd.o -MT _udi_to_sd.o -MD -MP -MF _udi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_sf.o -MT _sd_to_sf.o -MD -MP -MF _sd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_sf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_df.o -MT _sd_to_df.o -MD -MP -MF _sd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_df -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_xf.o -MT _sd_to_xf.o -MD -MP -MF _sd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_xf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_tf.o -MT _sd_to_tf.o -MD -MP -MF _sd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_tf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_sd.o -MT _sf_to_sd.o -MD -MP -MF _sf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_sd.o -MT _df_to_sd.o -MD -MP -MF _df_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_sd.o -MT _xf_to_sd.o -MD -MP -MF _xf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _tf_to_sd.o -MT _tf_to_sd.o -MD -MP -MF _tf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_dd.o -MT _sd_to_dd.o -MD -MP -MF _sd_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_dd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_td.o -MT _sd_to_td.o -MD -MP -MF _sd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_td -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_sd.o -MT _unord_sd.o -MD -MP -MF _unord_sd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_sd.o -MT _conv_sd.o -MD -MP -MF _conv_sd.dep -DFINE_GRAINED_LIBRARIES -DL_conv_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _hf_to_sd.o -MT _hf_to_sd.o -MD -MP -MF _hf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_hf.o -MT _sd_to_hf.o -MD -MP -MF _sd_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_hf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_dd.o -MT _addsub_dd.o -MD -MP -MF _addsub_dd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_dd.o -MT _div_dd.o -MD -MP -MF _div_dd.dep -DFINE_GRAINED_LIBRARIES -DL_div_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_dd.o -MT _mul_dd.o -MD -MP -MF _mul_dd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_dd.o -MT _plus_dd.o -MD -MP -MF _plus_dd.dep -DFINE_GRAINED_LIBRARIES -DL_plus_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_dd.o -MT _minus_dd.o -MD -MP -MF _minus_dd.dep -DFINE_GRAINED_LIBRARIES -DL_minus_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_dd.o -MT _eq_dd.o -MD -MP -MF _eq_dd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_dd.o -MT _ne_dd.o -MD -MP -MF _ne_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_dd.o -MT _lt_dd.o -MD -MP -MF _lt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_dd.o -MT _gt_dd.o -MD -MP -MF _gt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_dd.o -MT _le_dd.o -MD -MP -MF _le_dd.dep -DFINE_GRAINED_LIBRARIES -DL_le_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_dd.o -MT _ge_dd.o -MD -MP -MF _ge_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_si.o -MT _dd_to_si.o -MD -MP -MF _dd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_si -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_di.o -MT _dd_to_di.o -MD -MP -MF _dd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_di -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_usi.o -MT _dd_to_usi.o -MD -MP -MF _dd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_usi -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_udi.o -MT _dd_to_udi.o -MD -MP -MF _dd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_udi -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_dd.o -MT _si_to_dd.o -MD -MP -MF _si_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_dd.o -MT _di_to_dd.o -MD -MP -MF _di_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_dd.o -MT _usi_to_dd.o -MD -MP -MF _usi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_dd.o -MT _udi_to_dd.o -MD -MP -MF _udi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_sf.o -MT _dd_to_sf.o -MD -MP -MF _dd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_df.o -MT _dd_to_df.o -MD -MP -MF _dd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_df -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_xf.o -MT _dd_to_xf.o -MD -MP -MF _dd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_xf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_tf.o -MT _dd_to_tf.o -MD -MP -MF _dd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_tf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_dd.o -MT _sf_to_dd.o -MD -MP -MF _sf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_dd.o -MT _df_to_dd.o -MD -MP -MF _df_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_dd.o -MT _xf_to_dd.o -MD -MP -MF _xf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _tf_to_dd.o -MT _tf_to_dd.o -MD -MP -MF _tf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_sd.o -MT _dd_to_sd.o -MD -MP -MF _dd_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_td.o -MT _dd_to_td.o -MD -MP -MF _dd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_td -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_dd.o -MT _unord_dd.o -MD -MP -MF _unord_dd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_dd.o -MT _conv_dd.o -MD -MP -MF _conv_dd.dep -DFINE_GRAINED_LIBRARIES -DL_conv_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _hf_to_dd.o -MT _hf_to_dd.o -MD -MP -MF _hf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_hf.o -MT _dd_to_hf.o -MD -MP -MF _dd_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_hf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_td.o -MT _addsub_td.o -MD -MP -MF _addsub_td.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_td.o -MT _div_td.o -MD -MP -MF _div_td.dep -DFINE_GRAINED_LIBRARIES -DL_div_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_td.o -MT _mul_td.o -MD -MP -MF _mul_td.dep -DFINE_GRAINED_LIBRARIES -DL_mul_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_td.o -MT _plus_td.o -MD -MP -MF _plus_td.dep -DFINE_GRAINED_LIBRARIES -DL_plus_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_td.o -MT _minus_td.o -MD -MP -MF _minus_td.dep -DFINE_GRAINED_LIBRARIES -DL_minus_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_td.o -MT _eq_td.o -MD -MP -MF _eq_td.dep -DFINE_GRAINED_LIBRARIES -DL_eq_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_td.o -MT _ne_td.o -MD -MP -MF _ne_td.dep -DFINE_GRAINED_LIBRARIES -DL_ne_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_td.o -MT _lt_td.o -MD -MP -MF _lt_td.dep -DFINE_GRAINED_LIBRARIES -DL_lt_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_td.o -MT _gt_td.o -MD -MP -MF _gt_td.dep -DFINE_GRAINED_LIBRARIES -DL_gt_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_td.o -MT _le_td.o -MD -MP -MF _le_td.dep -DFINE_GRAINED_LIBRARIES -DL_le_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_td.o -MT _ge_td.o -MD -MP -MF _ge_td.dep -DFINE_GRAINED_LIBRARIES -DL_ge_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_si.o -MT _td_to_si.o -MD -MP -MF _td_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_si -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_di.o -MT _td_to_di.o -MD -MP -MF _td_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_di -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_usi.o -MT _td_to_usi.o -MD -MP -MF _td_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_usi -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_udi.o -MT _td_to_udi.o -MD -MP -MF _td_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_udi -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_td.o -MT _si_to_td.o -MD -MP -MF _si_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_td.o -MT _di_to_td.o -MD -MP -MF _di_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_td.o -MT _usi_to_td.o -MD -MP -MF _usi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_td.o -MT _udi_to_td.o -MD -MP -MF _udi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_sf.o -MT _td_to_sf.o -MD -MP -MF _td_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_df.o -MT _td_to_df.o -MD -MP -MF _td_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_df -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_xf.o -MT _td_to_xf.o -MD -MP -MF _td_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_xf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_tf.o -MT _td_to_tf.o -MD -MP -MF _td_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_tf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_td.o -MT _sf_to_td.o -MD -MP -MF _sf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_td.o -MT _df_to_td.o -MD -MP -MF _df_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_td.o -MT _xf_to_td.o -MD -MP -MF _xf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _tf_to_td.o -MT _tf_to_td.o -MD -MP -MF _tf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_sd.o -MT _td_to_sd.o -MD -MP -MF _td_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sd -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_dd.o -MT _td_to_dd.o -MD -MP -MF _td_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_dd -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_td.o -MT _unord_td.o -MD -MP -MF _unord_td.dep -DFINE_GRAINED_LIBRARIES -DL_unord_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_td.o -MT _conv_td.o -MD -MP -MF _conv_td.dep -DFINE_GRAINED_LIBRARIES -DL_conv_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _hf_to_td.o -MT _hf_to_td.o -MD -MP -MF _hf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_hf.o -MT _td_to_hf.o -MD -MP -MF _td_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_hf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o morestack.o -MT morestack.o -MD -MP -MF morestack.dep -c -xassembler-with-cpp -include morestack.vis ../../../src/libgcc/config/s390/morestack.S ../../../src/libgcc/config/s390/morestack.S: Assembler messages: ../../../src/libgcc/config/s390/morestack.S:600: Warning: ignoring incorrect section type for .init_array.00000 rm -f libgcov.a objects="_gcov_merge_add.o _gcov_merge_topn.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_topn_values_profiler.o _gcov_topn_values_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v4.o _gcov_time_profiler.o _gcov_dump.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov_lock_unlock.o _gcov.o _gcov_info_to_gcda.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ s390x-linux-gnu-ar rc libgcov.a $objects rm -f libgcc_eh.a objects="unwind-dw2.o unwind-dw2-fde-dip.o unwind-sjlj.o unwind-c.o emutls.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ s390x-linux-gnu-ar rc libgcc_eh.a $objects s390x-linux-gnu-ranlib libgcov.a s390x-linux-gnu-ranlib libgcc_eh.a ../../../src/libgcc/generic-morestack.c: In function ‘__morestack_fail’: ../../../src/libgcc/generic-morestack.c:377:3: warning: ignoring return value of ‘writev’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 377 | writev (2, iov, 3); | ^~~~~~~~~~~~~~~~~~ # Early copyback; see "all" above for the rationale. The { /<>/builddir/gcc/build/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ cat libgcc.map.in; \ } | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ s390x-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done mv tmp-libgcc.map libgcc.map rm -f libgcc.a objects="_muldi3.o _negdi2.o _lshrdi3.o _ashldi3.o _ashrdi3.o _cmpdi2.o _ucmpdi2.o _clear_cache.o _trampoline.o __main.o _absvsi2.o _absvdi2.o _addvsi3.o _addvdi3.o _subvsi3.o _subvdi3.o _mulvsi3.o _mulvdi3.o _negvsi2.o _negvdi2.o _ctors.o _ffssi2.o _ffsdi2.o _clz.o _clzsi2.o _clzdi2.o _ctzsi2.o _ctzdi2.o _popcount_tab.o _popcountsi2.o _popcountdi2.o _paritysi2.o _paritydi2.o _powisf2.o _powidf2.o _powixf2.o _powitf2.o _mulhc3.o _mulsc3.o _muldc3.o _mulxc3.o _multc3.o _divhc3.o _divsc3.o _divdc3.o _divxc3.o _divtc3.o _bswapsi2.o _bswapdi2.o _clrsbsi2.o _clrsbdi2.o _fixunssfsi.o _fixunsdfsi.o _fixunsxfsi.o _fixsfdi.o _fixdfdi.o _fixxfdi.o _fixtfdi.o _fixunssfdi.o _fixunsdfdi.o _fixunsxfdi.o _fixunstfdi.o _floatdisf.o _floatdidf.o _floatdixf.o _floatditf.o _floatundisf.o _floatundidf.o _floatundixf.o _floatunditf.o _eprintf.o __gcc_bcmp.o _divdi3.o _moddi3.o _divmoddi4.o _udivdi3.o _umoddi3.o _udivmoddi4.o _udiv_w_sdiv.o decContext.o decNumber.o decExcept.o decRound.o decLibrary.o decDouble.o decPacked.o decQuad.o decSingle.o decimal32.o decimal64.o decimal128.o _addsub_sd.o _div_sd.o _mul_sd.o _plus_sd.o _minus_sd.o _eq_sd.o _ne_sd.o _lt_sd.o _gt_sd.o _le_sd.o _ge_sd.o _sd_to_si.o _sd_to_di.o _sd_to_usi.o _sd_to_udi.o _si_to_sd.o _di_to_sd.o _usi_to_sd.o _udi_to_sd.o _sd_to_sf.o _sd_to_df.o _sd_to_xf.o _sd_to_tf.o _sf_to_sd.o _df_to_sd.o _xf_to_sd.o _tf_to_sd.o _sd_to_dd.o _sd_to_td.o _unord_sd.o _conv_sd.o _hf_to_sd.o _sd_to_hf.o _addsub_dd.o _div_dd.o _mul_dd.o _plus_dd.o _minus_dd.o _eq_dd.o _ne_dd.o _lt_dd.o _gt_dd.o _le_dd.o _ge_dd.o _dd_to_si.o _dd_to_di.o _dd_to_usi.o _dd_to_udi.o _si_to_dd.o _di_to_dd.o _usi_to_dd.o _udi_to_dd.o _dd_to_sf.o _dd_to_df.o _dd_to_xf.o _dd_to_tf.o _sf_to_dd.o _df_to_dd.o _xf_to_dd.o _tf_to_dd.o _dd_to_sd.o _dd_to_td.o _unord_dd.o _conv_dd.o _hf_to_dd.o _dd_to_hf.o _addsub_td.o _div_td.o _mul_td.o _plus_td.o _minus_td.o _eq_td.o _ne_td.o _lt_td.o _gt_td.o _le_td.o _ge_td.o _td_to_si.o _td_to_di.o _td_to_usi.o _td_to_udi.o _si_to_td.o _di_to_td.o _usi_to_td.o _udi_to_td.o _td_to_sf.o _td_to_df.o _td_to_xf.o _td_to_tf.o _sf_to_td.o _df_to_td.o _xf_to_td.o _tf_to_td.o _td_to_sd.o _td_to_dd.o _unord_td.o _conv_td.o _hf_to_td.o _td_to_hf.o enable-execute-stack.o generic-morestack.o generic-morestack-thread.o morestack.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ s390x-linux-gnu-ar rc libgcc.a $objects s390x-linux-gnu-ranlib libgcc.a # @multilib_flags@ is still needed because this may use # /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && ln -s libgcc_s.so.1 ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a s390x-linux-gnu-ranlib ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; ln -s libgcc_s.so.1 ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a s390x-linux-gnu-ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a s390x-linux-gnu-ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ s390x-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' # For some reason, on the i386 architecture only, it decides to delete # one important build result. Just rerun make as a workaround. /usr/bin/make -j4 -C /<>/builddir/gcc/build make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[5]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/libcody' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/libcody' make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/fixincludes' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/fixincludes' /usr/bin/make all-am make[4]: Entering directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/build-s390x-linux-gnu/libcpp' make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/gcc' gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' /usr/bin/make all-am make[4]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'all-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' # If this is the top-level multilib, build all the other # multilibs. CONFIG_FILES= CONFIG_HEADERS=auto-target.h:../../../src/libgcc/config.in /bin/bash ./config.status # Early copyback; see "all" above for the rationale. The dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h make[5]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ s390x-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done config.status: creating auto-target.h config.status: auto-target.h is unchanged config.status: executing default commands # @multilib_flags@ is still needed because this may use # /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/s390x-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/include -isystem /usr/lib/ghdl/gcc/s390x-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && ln -s libgcc_s.so.1 ./libgcc_s.so make[5]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a s390x-linux-gnu-ranlib ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; ln -s libgcc_s.so.1 ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a s390x-linux-gnu-ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a s390x-linux-gnu-ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ s390x-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' /usr/bin/make -C /<>/builddir/gcc ghdllib \ GHDL_GCC_BIN=/<>/builddir/gcc/build/gcc/ghdl \ GHDL1_GCC_BIN="--GHDL1=/<>/builddir/gcc/build/gcc/ghdl1" make[2]: Entering directory '/<>/builddir/gcc' make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc/vhdl" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/<>/builddir/gcc/build/gcc/ghdl" GHDL_FLAGS="--GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/<>/builddir/gcc' sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf cd lib/ghdl/gcc/vhdl/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/gcc/vhdl/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/gcc/vhdl/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf cd lib/ghdl/gcc/vhdl/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf cd lib/ghdl/gcc/vhdl/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf cd lib/ghdl/gcc/vhdl/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/gcc/vhdl/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/gcc/vhdl/src/std/env-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf cd lib/ghdl/gcc/vhdl/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/gcc/vhdl/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/gcc/vhdl/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf cd lib/ghdl/gcc/vhdl/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/gcc/vhdl/src/ieee2008/LICENSE cd lib/ghdl/gcc/vhdl/std/v87; /<>/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found cd lib/ghdl/gcc/vhdl/std/v93; /<>/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found cd lib/ghdl/gcc/vhdl/std/v08; /<>/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found make[3]: Leaving directory '/<>/builddir/gcc' gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o jumps.o /<>/builddir/gcc/../../src/grt/config/jumps.c /<>/builddir/gcc/../../src/grt/config/jumps.c:136:1: warning: ‘grt_overflow_handler’ defined but not used [-Wunused-function] 136 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o times.o /<>/builddir/gcc/../../src/grt/config/times.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cstdio.o /<>/builddir/gcc/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cgnatrts.o /<>/builddir/gcc/../../src/grt/grt-cgnatrts.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-no_sundials_c.o /<>/builddir/gcc/../../src/grt/grt-no_sundials_c.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cvpi.o /<>/builddir/gcc/../../src/grt/grt-cvpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cvhpi.o /<>/builddir/gcc/../../src/grt/grt-cvhpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o grt-cdynload.o /<>/builddir/gcc/../../src/grt/grt-cdynload.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o fstapi.o /<>/builddir/gcc/../../src/grt/fst/fstapi.c -I/<>/builddir/gcc/../../src/grt/fst gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o lz4.o /<>/builddir/gcc/../../src/grt/fst/lz4.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o fastlz.o /<>/builddir/gcc/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/ghdl_main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada ghdl_main.adb:45:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:45:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:45:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors.adb "grt-heap.ali" being checked ... -> "grt-heap.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-heap.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-modules.adb "grt-no_analog_solver.ali" being checked ... -> "grt-no_analog_solver.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-no_analog_solver.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-options.ali" being checked ... -> "grt-options.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_binding.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-std_logic_1164.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-change_generics.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_lib.ali" being checked ... -> "grt-files_lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-hooks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-images.ali" being checked ... -> "grt-images.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-images.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-names.ali" being checked ... -> "grt-names.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-processes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-shadow_ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-values.ali" being checked ... -> "grt-values.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhdl_types.ads "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_rti.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcd.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcdz.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vital_annotate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vpi.adb "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-waves.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio-vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-callbacks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_addr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors_exec.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-file.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-arith.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-analog_solver.ali" being checked ... -> "grt-analog_solver.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-analog_solver.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-threads.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stack2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/builddir/gcc/grt-backtraces-impl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst_api.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-design.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-zlib.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-sdf.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/builddir/gcc/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-ghw.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-unithread.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-gcc.ali" being checked ... -> "grt-backtraces-gcc.ali" missing. s390x-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces-gcc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. s390x-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. s390x-linux-gnu-gcc-13 -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. s390x-linux-gnu-gcc-13 -c -I/<>/builddir/gcc/../../src/grt/ -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /<>/builddir/gcc/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o pic/grt-cstdio.o /<>/builddir/gcc/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o pic/grt-cdynload.o /<>/builddir/gcc/../../src/grt/grt-cdynload.c VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j4 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-4_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "lib/libghdl-4_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/libghdl.o /<>/src/vhdl/libghdl/libghdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout.ali" being checked ... -> "errorout.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/errorout.o /<>/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/errorout-memory.o /<>/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/files_map.o /<>/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/files_map-editor.o /<>/src/files_map-editor.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlcomp.o /<>/src/ghdldrv/ghdlcomp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdllocal.o /<>/src/ghdldrv/ghdllocal.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "options.ali" being checked ... -> "options.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/options.o /<>/src/options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl.ali" being checked ... -> "vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl.o /<>/src/vhdl/vhdl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-formatters.o /<>/src/vhdl/vhdl-formatters.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlsynth_maybe.o /<>/builddir/gcc/ghdlsynth_maybe.ads "types.ali" being checked ... -> "types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/types.o /<>/src/types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes.o /<>/src/vhdl/vhdl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/dyn_tables.o /<>/src/dyn_tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "tables.ali" being checked ... -> "tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/tables.o /<>/src/tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "logging.ali" being checked ... -> "logging.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/logging.o /<>/src/logging.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_table.ali" being checked ... -> "name_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/name_table.o /<>/src/name_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "str_table.ali" being checked ... -> "str_table.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/str_table.o /<>/src/str_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flags.ali" being checked ... -> "flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/flags.o /<>/src/flags.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlmain.o /<>/src/ghdldrv/ghdlmain.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... -> "libraries.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/libraries.o /<>/src/libraries.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "simple_io.ali" being checked ... -> "simple_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/simple_io.o /<>/src/simple_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-configuration.o /<>/src/vhdl/vhdl-configuration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem.o /<>/src/vhdl/vhdl-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_lib.o /<>/src/vhdl/vhdl-sem_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-std_package.o /<>/src/vhdl/vhdl-std_package.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-utils.o /<>/src/vhdl/vhdl-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... -> "elab.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab.o /<>/src/synth/elab.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_objtypes.o /<>/src/synth/elab-vhdl_objtypes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "file_comments.ali" being checked ... -> "file_comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/file_comments.o /<>/src/file_comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl.ali" being checked ... -> "psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl.o /<>/src/psl/psl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-dump_tree.o /<>/src/psl/psl-dump_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nodes.o /<>/src/psl/psl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "std_names.ali" being checked ... -> "std_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/std_names.o /<>/src/std_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-back_end.o /<>/src/vhdl/vhdl-back_end.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-disp_tree.o /<>/src/vhdl/vhdl-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-errors.o /<>/src/vhdl/vhdl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-lists.o /<>/src/vhdl/vhdl-lists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-parse.o /<>/src/vhdl/vhdl-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-scanner.o /<>/src/vhdl/vhdl-scanner.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... -> "filesystem.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/filesystem.o /<>/src/filesystem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-types.o /<>/src/vhdl/vhdl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlsynth.o /<>/src/ghdldrv/ghdlsynth.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt.ali" being checked ... -> "grt.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt.o /<>/src/grt/grt.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-vstrings.o /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "utils_io.ali" being checked ... -> "utils_io.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/utils_io.o /<>/src/utils_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-prints.o /<>/src/vhdl/vhdl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-tokens.o /<>/src/vhdl/vhdl-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "default_paths.ali" being checked ... -> "default_paths.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/default_paths.o /<>/builddir/gcc/default_paths.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/version.o /<>/builddir/gcc/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "bug.ali" being checked ... -> "bug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/bug.o /<>/src/bug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/errorout-console.o /<>/src/errorout-console.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-canon.o /<>/src/vhdl/vhdl-canon.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-evaluation.o /<>/src/vhdl/vhdl-evaluation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_walk.o /<>/src/vhdl/vhdl-nodes_walk.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_scopes.o /<>/src/vhdl/vhdl-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_gc.o /<>/src/vhdl/vhdl-nodes_gc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-post_sems.o /<>/src/vhdl/vhdl-post_sems.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-elocations.o /<>/src/vhdl/vhdl-elocations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee.o /<>/src/vhdl/vhdl-ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_1164.o /<>/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_utils.o /<>/src/vhdl/vhdl-nodes_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_assocs.o /<>/src/vhdl/vhdl-sem_assocs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_decls.o /<>/src/vhdl/vhdl-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_expr.o /<>/src/vhdl/vhdl-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_inst.o /<>/src/vhdl/vhdl-sem_inst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_names.o /<>/src/vhdl/vhdl-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_psl.o /<>/src/vhdl/vhdl-sem_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_specs.o /<>/src/vhdl/vhdl-sem_specs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_stmts.o /<>/src/vhdl/vhdl-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_types.o /<>/src/vhdl/vhdl-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_utils.o /<>/src/vhdl/vhdl-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-xrefs.o /<>/src/vhdl/vhdl-xrefs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-types.ali" being checked ... -> "psl-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-types.o /<>/src/psl/psl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-errors.o /<>/src/psl/psl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nodes_meta.o /<>/src/psl/psl-nodes_meta.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-algos.o /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "mutils.ali" being checked ... -> "mutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/mutils.o /<>/src/mutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types_utils.ali" being checked ... -> "types_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/types_utils.o /<>/src/synth/types_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "areapools.ali" being checked ... -> "areapools.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/areapools.o /<>/src/areapools.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-memtype.o /<>/src/synth/elab-memtype.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-types.o /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-hash.o /<>/src/psl/psl-hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_meta.o /<>/src/vhdl/vhdl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "lists.ali" being checked ... -> "lists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/lists.o /<>/src/lists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_priv.o /<>/src/vhdl/vhdl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-flists.o /<>/src/vhdl/vhdl-flists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-fcvt.o /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-c.o /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-debugger.o /<>/src/synth/elab-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_annotations.o /<>/src/synth/elab-vhdl_annotations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_context.o /<>/src/synth/elab-vhdl_context.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_errors.o /<>/src/synth/elab-vhdl_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_insts.o /<>/src/synth/elab-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlverilog.o /<>/src/ghdldrv/ghdlverilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists.ali" being checked ... -> "netlists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists.o /<>/src/synth/netlists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-disp_dot.o /<>/src/synth/netlists-disp_dot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-disp_verilog.o /<>/src/synth/netlists-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-disp_vhdl.o /<>/src/synth/netlists-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-dump.o /<>/src/synth/netlists-dump.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-errors.o /<>/src/synth/netlists-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-inference.o /<>/src/synth/netlists-inference.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-rename.o /<>/src/synth/netlists-rename.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth.ali" being checked ... -> "synth.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth.o /<>/src/synth/synth.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-disp_vhdl.o /<>/src/synth/synth-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-flags.o /<>/src/synth/synth-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_context.o /<>/src/synth/synth-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_foreign.o /<>/src/synth/synth-vhdl_foreign.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synthesis.ali" being checked ... -> "synthesis.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synthesis.o /<>/src/synth/synthesis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-build.ali" being checked ... -> "psl-build.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-build.o /<>/src/psl/psl-build.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nfas.o /<>/src/psl/psl-nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nfas-utils.o /<>/src/psl/psl-nfas-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-rewrites.o /<>/src/psl/psl-rewrites.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-canon_psl.o /<>/src/vhdl/vhdl-canon_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-comments.o /<>/src/vhdl/vhdl-comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-parse_psl.o /<>/src/vhdl/vhdl-parse_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-math_real.o /<>/src/vhdl/vhdl-ieee-math_real.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-numeric.o /<>/src/vhdl/vhdl-ieee-numeric.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-numeric_std_unsigned.o /<>/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_arith.o /<>/src/vhdl/vhdl-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_misc.o /<>/src/vhdl/vhdl-ieee-std_logic_misc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_unsigned.o /<>/src/vhdl/vhdl-ieee-std_logic_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-vital_timing.o /<>/src/vhdl/vhdl-ieee-vital_timing.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-std_env.o /<>/src/vhdl/vhdl-std_env.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-elocations_meta.o /<>/src/vhdl/vhdl-elocations_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_types.o /<>/src/synth/elab-vhdl_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-to_strings.o /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-vhdl_types.o /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_eval.o /<>/src/synth/synth-vhdl_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-prints.o /<>/src/psl/psl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-priorities.o /<>/src/psl/psl-priorities.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-subsets.o /<>/src/psl/psl-subsets.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sensitivity_checks.o /<>/src/vhdl/vhdl-sensitivity_checks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nodes_priv.o /<>/src/psl/psl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flists.ali" being checked ... -> "flists.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/flists.o /<>/src/flists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "debuggers.ali" being checked ... -> "debuggers.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/debuggers.o /<>/src/debuggers.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_context-debug.o /<>/src/synth/elab-vhdl_context-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_debug.o /<>/src/synth/elab-vhdl_debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_utils.o /<>/src/synth/elab-vhdl_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_insts.o /<>/src/synth/synth-verilog_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_insts.o /<>/src/synth/synth-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog.ali" being checked ... -> "verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog.o /<>/src/verilog/verilog.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-elaborate.o /<>/src/verilog/verilog-elaborate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-errors.o /<>/src/verilog/verilog-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-find_top.o /<>/src/verilog/verilog-find_top.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-flags.o /<>/src/verilog/verilog-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-nodes.o /<>/src/verilog/verilog-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-nutils.o /<>/src/verilog/verilog-nutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-parse.o /<>/src/verilog/verilog-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-scans.o /<>/src/verilog/verilog-scans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem.o /<>/src/verilog/verilog-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_instances.o /<>/src/verilog/verilog-sem_instances.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_scopes.o /<>/src/verilog/verilog-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_types.o /<>/src/verilog/verilog-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-vhdl_export.o /<>/src/verilog/verilog-vhdl_export.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-vpi.o /<>/src/verilog/verilog-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_values.o /<>/src/synth/elab-vhdl_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-iterators.o /<>/src/synth/netlists-iterators.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-utils.o /<>/src/synth/netlists-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_decls.o /<>/src/synth/elab-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_expr.o /<>/src/synth/elab-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_files.o /<>/src/synth/elab-vhdl_files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_stmts.o /<>/src/synth/elab-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_expr.o /<>/src/synth/synth-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_stmts.o /<>/src/synth/synth-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-gates.o /<>/src/synth/netlists-gates.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-locations.o /<>/src/synth/netlists-locations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-severity.o /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-folds.o /<>/src/synth/netlists-folds.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-gates_ports.o /<>/src/synth/netlists-gates_ports.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-internings.o /<>/src/synth/netlists-internings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-memories.o /<>/src/synth/netlists-memories.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-errors.o /<>/src/synth/synth-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-builders.o /<>/src/synth/netlists-builders.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/dyn_maps.o /<>/src/dyn_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "hash.ali" being checked ... -> "hash.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/hash.o /<>/src/hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-context.ali" being checked ... -> "synth-context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-context.o /<>/src/synth/synth-context.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_environment.o /<>/src/synth/synth-vhdl_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_values-debug.o /<>/src/synth/elab-vhdl_values-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-cleanup.o /<>/src/synth/netlists-cleanup.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-expands.o /<>/src/synth/netlists-expands.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/dyn_interning.o /<>/src/dyn_interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-dynload.o /<>/src/grt/grt-dynload.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "interning.ali" being checked ... -> "interning.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/interning.o /<>/src/interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-cse.o /<>/src/psl/psl-cse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-disp_nfas.o /<>/src/psl/psl-disp_nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-optimize.o /<>/src/psl/psl-optimize.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-qm.o /<>/src/psl/psl-qm.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-strings.o /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-readline.o /<>/builddir/gcc/grt-readline.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_heap.o /<>/src/synth/elab-vhdl_heap.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_context.o /<>/src/synth/synth-verilog_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_elaboration.o /<>/src/synth/synth-verilog_elaboration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_environment.o /<>/src/synth/synth-verilog_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_exprs.o /<>/src/synth/synth-verilog_exprs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_sources.o /<>/src/synth/synth-verilog_sources.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_stmts.o /<>/src/synth/synth-verilog_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_values.o /<>/src/synth/synth-verilog_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-allocates.o /<>/src/verilog/verilog-allocates.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-bignums.o /<>/src/verilog/verilog-bignums.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-disp_verilog.o /<>/src/verilog/verilog-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_names.o /<>/src/verilog/verilog-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-storages.o /<>/src/verilog/verilog-storages.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-tokens.o /<>/src/verilog/verilog-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-arith.o /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee.o /<>/src/synth/synth-ieee.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-numeric_std.o /<>/src/synth/synth-ieee-numeric_std.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-std_logic_1164.o /<>/src/synth/synth-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-std_logic_arith.o /<>/src/synth/synth-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-source.ali" being checked ... -> "synth-source.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-source.o /<>/src/synth/synth-source.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-concats.o /<>/src/synth/netlists-concats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_decls.o /<>/src/synth/synth-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_oper.o /<>/src/synth/synth-vhdl_oper.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-resolve_names.o /<>/src/verilog/verilog-resolve_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_decls.o /<>/src/verilog/verilog-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_eval.o /<>/src/verilog/verilog-sem_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_expr.o /<>/src/verilog/verilog-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_stmts.o /<>/src/verilog/verilog-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_upwards.o /<>/src/verilog/verilog-sem_upwards.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_utils.o /<>/src/verilog/verilog-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-standard.o /<>/src/verilog/verilog-standard.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-types.o /<>/src/verilog/verilog-types.ads "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-nodes_meta.o /<>/src/verilog/verilog-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-astdio.o /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-stdio.o /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_maps.ali" being checked ... -> "name_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/name_maps.o /<>/src/name_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-bn_tables.o /<>/src/verilog/verilog-bn_tables.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-macros.o /<>/src/verilog/verilog-macros.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-files.o /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-executions.o /<>/src/verilog/verilog-executions.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-simulation.o /<>/src/verilog/verilog-simulation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_strings.o /<>/src/verilog/verilog-sv_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-files_operations.o /<>/src/grt/grt-files_operations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-rstrings.o /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_aggr.o /<>/src/synth/synth-vhdl_aggr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_prot.o /<>/src/synth/elab-vhdl_prot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_static_proc.o /<>/src/synth/synth-vhdl_static_proc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-butils.o /<>/src/synth/netlists-butils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-readline_none.o /<>/src/grt/grt-readline_none.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-environment.o /<>/src/synth/synth-environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-environment-debug.o /<>/src/synth/synth-environment-debug.adb "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_errors.o /<>/src/synth/synth-verilog_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-abi.o /<>/src/verilog/verilog-abi.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_arrays.o /<>/src/verilog/verilog-sv_arrays.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_classes.o /<>/src/verilog/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_maps.o /<>/src/verilog/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_queues.o /<>/src/verilog/verilog-sv_queues.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-disp_tree.o /<>/src/verilog/verilog-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-utils.o /<>/src/synth/synth-ieee-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-table.o /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. s390x-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-debugger.o /<>/src/verilog/verilog-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation s390x-linux-gnu-gnatbind-13 -aI. -aO/<>/builddir/gcc/pic -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<>/builddir/gcc/pic/libghdl.ali s390x-linux-gnu-gnatlink-13 /<>/builddir/gcc/pic/libghdl.ali -shared-libgcc -o lib/libghdl-4_0_0.so -g -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -fPIC -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o pic/grt-cdynload.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-13 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 gcc-13 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-13 -fPIC -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/<>/builddir/gcc' touch /<>/builddir/stamps/build-gcc dh override_dh_auto_build make[1]: Leaving directory '/<>' debian/rules override_dh_auto_test make[1]: Entering directory '/<>' dh_testdir ------------------------------------------------------------ Run testsuite for llvm backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. /usr/bin/make -C /<>/builddir/llvm install DESTDIR=/<>/testrundir/llvm make[2]: Entering directory '/<>/builddir/llvm' mkdir -p "/<>/testrundir/llvm/usr" mkdir -p "/<>/testrundir/llvm/usr/bin" mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm" mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl" mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl" VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-13" \ CXXFLAGS=" -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2" \ GNATMAKE="gnatmake -v -j4 -R -eS " all make[3]: Entering directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 \ `llvm-config --ldflags --libs --system-libs` -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "filesystem.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-coverage.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "mutils.ali" being checked ... "types_utils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "grt-types.ali" being checked ... "grt-algos.ali" being checked ... "utils_io.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "elab-vhdl_types.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt-c.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-errors.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "elab-vhdl_context.ali" being checked ... "grt-strings.ali" being checked ... "grt-arith.ali" being checked ... "netlists.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "synth-source.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-files.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-builders.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-files_operations.ali" being checked ... "grt-stdio.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "grt-table.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "grt-dynload.ali" being checked ... "synthesis.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "grt-readline.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-verilog_insts.ali" being checked ... "grt-readline_none.ali" being checked ... "netlists-butils.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "verilog.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "verilog-vpi.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-standard.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-sv_strings.ali" being checked ... "verilog-disp_tree.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "name_maps.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-astdio.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "filesystem.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "version.ali" being checked ... "std_names.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "verilog-vpi.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "mutils.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "grt-c.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-severity.ali" being checked ... "grt-dynload.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "grt-readline.ali" being checked ... "grt-table.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm /<>/testrundir/llvm/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm /<>/testrundir/llvm/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. s390x-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/libgrt.a /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/vhdl/grt.lst /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/grt-exec.lst /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/vhdl/grt-shared.lst /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /<>/builddir/llvm/../../src/grt/grt.ver /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/llvm/vhdl/$d/* "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/"; mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p lib/ghdl/llvm/libghdlvpi.so "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vpi_user.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vhpi_user.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 755 -p libghdl.a "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p libghdl.link "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p ../../src/synth/include/synth.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/<>/testrundir/llvm/usr/bin/" install -m 755 -p lib/libghw.so "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p ../../ghw/libghw.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" "/<>/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=87 > "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl" "/<>/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=93 > "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl" "/<>/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=08 > "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/llvm' # Temporarily make LLVM backend testsuite failure non-fatal to more # easily collect data for investigating the PSL testsuite failures on # some architectures debian/tests/ghdl-tests buildtest llvm > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /<>/testrundir/llvm/usr/bin/ghdl-llvm GHDL 4.0.0 (Ubuntu 4.0.0+dfsg-0ubuntu2) [Dunoon edition] Compiled with GNAT Version: 13.2.0 llvm 18.1.2 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2024 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /<>/testrundir/llvm/usr/bin/ghdl-llvm COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version help-options Display help for analyzer options alias: --help-options, opts-help, --options-help help-warnings Display help about all the warnings alias: --help-warnings To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 4 test workers. sanity 005examples: ok sanity 008coverage: ok sanity 006upf: ok sanity 000hello: ok sanity 002hello2008: ok sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna ..Running with 4 test workers. gna issue223: ok gna issue2233: ok gna issue2235: ok gna issue2238: ok gna issue2239: ok gna issue465: failed gna bug01: failed gna issue1364: ok gna issue1370: ok gna issue1371: ok gna issue467: failed gna issue2244: failed gna issue470: ok gna issue471: ok gna issue225: failed gna issue472: ok gna issue1376: failed gna bug010: failed gna issue1377: ok gna issue2250: failed gna issue473: failed gna issue474: ok gna issue1379: ok gna issue2264: failed gna issue2267: ok gna issue1381: ok gna issue1384: ok gna issue2269: failed gna issue1385: ok gna issue476: failed gna issue478: ok gna issue1386: ok gna issue227: failed gna issue2271: ok gna bug0100: ok gna bug0101: ok gna issue1387: ok gna issue2276: failed gna bug0103: failed gna issue2277: ok gna issue1389: failed gna bug0104: ok gna issue228: failed gna issue1392: failed gna bug0105: failed gna bug0106: ok gna bug0108: ok gna issue2288: failed gna issue14: failed gna issue140: ok gna bug0109: failed gna issue1403: ok gna issue2299: failed gna issue1404: failed gna issue2303: ok gna issue2306: ok gna issue2307: ok gna bug011: failed gna issue1405: failed gna bug0110: ok gna bug0111: ok gna issue141: ok gna bug0112: ok gna bug0114: ok gna issue1412: ok gna issue1415: failed gna bug0115: failed gna issue1416: failed gna bug0117: failed gna bug0118: ok gna bug012: failed gna bug0120: ok gna bug0122: ok gna issue1419: failed gna issue2323: ok gna bug0123: failed gna bug0124: ok gna issue2326: failed gna bug0125: failed gna bug0126: ok gna issue1420: failed gna issue233: failed gna bug0128: failed gna issue2333: ok gna bug0129: failed gna bug0130: ok gna issue2336: failed gna issue1425: ok gna bug0131: failed gna issue2337: failed gna issue1429: failed gna issue2344: failed gna issue143: ok gna bug0133: ok gna issue2346: failed gna bug0134: failed gna bug0135: ok gna issue1431: failed gna issue144: ok gna issue2349: ok gna bug0136: failed gna bug0137: ok gna issue1440: failed gna bug0138: ok gna issue235: ok gna bug0139: ok gna bug014: ok gna issue1443: failed gna bug015: failed gna issue1453: failed gna issue2350: ok gna bug016: ok gna issue2353: failed gna bug017: failed gna issue2356: failed gna bug018: failed gna issue1455: ok gna issue1456: ok gna issue1461: ok gna issue2357: failed gna issue2365: ok gna issue2368: ok gna issue1469: failed gna issue147: ok gna issue1473: ok gna issue237: failed gna issue1474: failed gna issue148: ok gna issue1480: ok gna issue1485: ok gna issue1486: ok gna issue1490: ok gna issue238: failed gna issue1493: failed gna issue2381: failed gna issue2382: ok gna issue2383: ok gna issue2388: failed gna issue15: failed gna issue2393: failed gna issue150: failed gna issue1500: ok gna issue2395: failed gna issue151: ok gna issue50: failed gna issue516: ok gna issue520: ok gna issue1514: ok gna issue521: ok gna issue2396: failed gna issue24: ok gna issue1515: failed gna issue1517: ok gna issue2402: ok gna issue152: ok gna issue1523: failed gna issue1527: ok gna issue522: ok gna issue2407: failed gna issue524: ok gna issue525: ok gna issue1528: failed gna bug019: failed gna issue529: failed gna bug02: failed gna issue1549: failed gna bug021: ok gna issue241: ok gna issue155: ok gna issue530: failed gna bug022: failed gna issue156: ok gna issue2410: failed gna bug023: failed gna issue158: failed gna issue2417: failed gna bug024: ok gna issue531: ok gna issue1588: ok gna issue535: ok gna bug026: ok gna issue242: failed gna bug027: ok gna bug028: ok gna issue1589: failed gna issue542: ok gna issue2421: failed gna bug029: ok gna issue1593: failed gna issue1597: ok gna issue2422: failed gna issue543: failed gna bug03: failed gna issue2424: failed gna bug030: ok gna issue544: ok gna bug031: ok gna issue2425: ok gna issue160: ok gna issue1602: ok gna bug032: ok gna issue546: ok gna issue1612: failed gna issue1623: ok gna bug033: ok gna issue547: failed gna issue548: ok gna issue1624: ok gna bug034: ok gna issue552: failed gna issue553: ok gna issue558: ok gna issue1625: failed gna issue2427: ok gna issue559: ok gna issue2429: failed gna issue163: failed gna issue560: ok gna issue243: ok gna issue1631: ok gna issue2430: failed gna issue1637: ok gna issue561: failed gna issue1639: ok gna issue1640: ok gna issue1646: ok gna issue563: ok gna issue2432: failed gna issue1654: failed gna issue2433: ok gna issue578: ok gna issue584: failed gna issue2435: failed gna issue586: ok gna issue598: failed gna issue2437: failed gna issue606: failed gna issue1655: ok gna issue244: failed gna issue609: ok gna issue1657: failed gna issue2440: failed gna issue1664: ok gna issue610: failed gna issue613: ok gna issue2441: failed gna issue1667: failed gna issue615: failed gna issue167: ok gna issue2445: failed gna issue2447: ok gna issue616: failed gna issue1672: failed gna issue1677: ok gna issue2448: failed gna issue618: ok gna issue1684: ok gna bug035: failed gna issue2452: failed gna issue1687: ok gna issue620: failed gna bug036: ok gna issue621: ok gna issue623: ok gna issue1688: failed gna issue626: failed gna issue627: ok gna issue630: ok gna issue2454: failed gna issue631: ok gna issue2455: ok gna issue2458: ok gna issue1689: failed gna issue632: ok gna issue2459: failed gna issue634: ok gna issue2460: ok gna issue2462: ok gna issue1690: failed gna issue2463: ok gna issue1691: ok gna issue1697: ok gna issue2465: ok gna issue17: failed gna issue1700: ok gna issue2466: ok gna issue1704: failed gna issue2470: failed gna issue1708: failed gna issue2471: failed gna issue1715: failed gna issue635: ok gna issue636: ok gna issue1717: ok gna issue2473: failed gna issue637: failed gna issue1718: ok gna issue2476: failed gna issue641: failed gna issue642: failed gna issue2477: failed gna issue1721: ok gna issue643: failed gna issue2480: failed gna issue1723: ok gna issue1724: ok gna issue645: failed gna issue1726: ok gna issue1727: ok gna issue2481: failed gna issue646: failed gna issue1736: ok gna issue1751: ok gna issue648: failed gna issue2486: failed gna issue652: ok gna issue1757: failed gna issue654: ok gna issue1759: ok gna issue2488: ok gna issue660: failed gna issue2489: failed gna issue2494: ok gna issue663: failed gna issue2497: failed gna issue176: ok gna bug037: failed gna issue1764: failed gna issue2498: failed gna bug039: ok gna issue25: ok gna issue2500: ok gna issue1765: ok gna issue664: failed gna issue666: ok gna issue1768: ok gna issue2502: failed gna issue2505: ok gna issue2506: ok gna bug04: failed gna issue2507: ok gna issue2508: ok gna issue2509: failed gna issue1771: failed gna issue668: failed gna issue1772: failed gna issue2510: ok gna issue67: ok gna issue2516: failed gna issue1779: ok gna issue2519: failed gna issue2525: ok gna issue2526: ok gna issue18: failed gna issue2528: ok gna issue672: failed gna issue1810: ok gna issue253: failed gna issue676: failed gna issue2531: ok gna issue679: ok gna issue1814: failed gna issue2533: ok gna issue683: ok gna issue1818: failed gna issue2536: failed gna issue2538: ok gna issue685: failed gna issue2539: ok gna issue254: failed gna issue687: failed gna issue689: failed gna issue2540: failed gna issue2541: ok gna issue2542: ok gna issue2544: ok gna issue2546: ok gna issue2547: ok gna issue69: failed gna issue690: ok gna issue1820: ok gna issue691: ok gna issue1823: ok gna issue1824: ok gna issue1831: failed gna issue2549: failed gna issue692: ok gna issue2550: failed gna issue1832: failed gna issue2552: ok gna issue2554: ok gna issue1833: failed gna issue2558: ok gna issue694: failed gna issue695: ok gna issue1834: ok gna issue256: failed gna issue2561: ok gna issue1836: failed gna issue697: failed gna issue1837: ok gna issue705: ok gna issue2562: failed gna issue707: ok gna issue1843: failed gna issue2564: failed gna issue2566: ok gna issue708: ok gna issue71: ok gna issue2567: ok gna issue1844: failed gna issue710: failed gna issue2569: failed gna issue1857: failed gna issue713: failed gna issue1862: failed gna issue257: failed gna issue715: failed gna issue1864: ok gna issue2570: failed gna issue717: ok gna issue1867: failed gna issue2571: failed gna issue718: failed gna issue719: ok gna issue1872: failed gna issue1875: ok gna issue72: ok gna issue726: ok gna issue2572: ok gna issue729: ok gna issue2573: ok gna issue1876: ok gna issue73: failed gna issue2575: ok gna issue1881: failed gna issue2579: failed gna issue731: failed gna issue734: ok gna issue1883: ok gna issue735: ok gna issue258: ok gna issue1894: ok gna issue1897: ok gna issue2580: failed gna issue736: failed gna issue737: failed gna issue1898: failed gna issue747: ok gna issue2581: failed gna issue2584: ok gna issue2586: ok gna issue190: failed gna issue756: failed gna issue2587: ok gna issue772: ok gna issue1908: ok gna issue191: ok gna issue259: failed gna issue776: failed gna issue777: ok gna issue779: ok gna issue1913: ok gna issue2590: failed gna issue2592: ok gna issue2593: ok gna issue780: failed gna issue1914: failed gna issue2594: failed gna issue1919: ok gna issue2597: ok gna issue786: failed gna issue2598: ok gna issue787: failed gna issue26: failed gna issue2607: ok gna issue788: failed gna issue261: ok gna issue2611: ok gna issue2613: ok gna issue2614: ok gna issue791: ok gna issue792: ok gna issue794: ok gna issue2618: ok gna issue1924: failed gna issue795: failed gna issue262: failed gna issue1934: ok gna issue797: ok gna issue803: ok gna issue1935: ok gna issue2620: failed gna issue807: failed gna issue1943: ok gna issue1953: ok gna issue1965: ok gna issue810: ok gna issue1979: ok gna issue263: ok gna issue813: ok gna issue264: ok gna issue816: ok gna issue817: ok gna issue265: ok gna issue818: failed gna issue268: ok gna issue821: failed gna issue273: ok gna issue278: ok gna issue283: ok gna issue828: failed gna issue830: ok gna issue838: ok gna issue290: failed gna issue852: failed gna issue857: ok gna issue860: ok gna issue864: ok gna issue293: failed gna issue869: ok gna issue873: ok gna issue295: ok gna issue874: failed gna issue875: ok gna issue877: failed gna issue880: failed gna issue881: ok gna issue885: failed gna issue886: ok gna issue1980: failed gna issue887: failed gna issue199: failed gna issue1992: ok gna issue899: failed gna issue9: ok gna issue1994: failed gna issue1999: ok gna issue912: failed gna issue2: failed gna issue20: failed gna issue2005: ok gna issue913: failed gna issue2006: ok gna issue201: ok gna issue916: failed gna issue202: failed gna issue917: failed gna issue918: failed gna issue2026: failed gna issue2031: ok gna issue2038: ok gna issue204: ok gna issue922: failed gna issue2048: ok gna issue205: ok gna issue2050: ok gna issue961: failed gna issue2051: failed gna issue98: failed gna issue2055: failed gna issue2065: failed gna issue983: failed gna issue984: failed gna issue2066: ok gna issue207: ok gna issue99: ok gna lsp27: failed gna issue2070: ok gna issue2071: failed gna issue2076: ok gna issue209: ok gna issue2091: failed gna issue2097: failed gna issue2098: failed gna issue2100: ok gna issue2101: ok gna issue2103: ok gna issue2104: ok gna issue2110: ok gna issue2112: failed gna issue2115: failed gna bug040: failed gna bug041: ok gna bug042: failed gna issue2116: ok gna issue2117: ok gna issue2118: failed gna issue212: ok gna issue2131: ok gna bug043: ok gna issue2134: ok gna bug044: ok gna issue2136: failed gna bug045: failed gna bug046: ok gna bug047: ok gna issue2138: failed gna issue2141: failed gna bug048: failed gna issue2147: failed gna bug049: failed gna issue2148: ok gna issue30: failed gna bug05: failed gna issue2150: ok gna issue300: failed gna issue2152: failed gna bug050: ok gna issue301: failed gna issue309: ok gna bug051: ok gna issue2153: failed gna issue310: failed gna issue312: ok gna issue2155: failed gna issue314: ok gna issue316: ok gna bug052: ok gna issue2156: ok gna issue317: failed gna issue2157: failed gna issue320: failed gna bug053: ok gna issue321: failed gna bug054: ok gna issue326: ok gna bug055: ok gna issue216: ok gna issue328: failed gna issue2162: ok gna issue2163: ok gna issue2164: ok gna bug056: ok gna issue2165: ok gna issue332: failed gna issue333: ok gna issue2166: failed gna issue2171: ok gna issue2172: ok gna issue2173: ok gna bug057: ok gna issue2174: failed gna issue339: failed gna issue349: ok gna issue2175: failed gna bug058: ok gna issue351: ok gna bug059: ok gna issue356: ok gna issue2179: ok gna issue361: ok gna bug06: failed gna issue367: ok gna issue368: ok gna issue369: failed gna bug060: failed gna issue370: ok gna issue371: failed gna issue372: ok gna issue373: ok gna issue374: ok gna bug061: failed gna issue375: failed gna issue2185: ok gna issue376: failed gna issue379: ok gna issue2189: failed gna issue38: ok gna issue381: ok gna bug062: ok gna bug063: ok gna issue2190: failed gna bug064: ok gna issue382: failed gna issue384: ok gna issue387: ok gna issue2193: failed gna bug065: failed gna issue388: ok gna issue2196: ok gna bug066: ok gna bug067: ok gna issue389: ok gna issue2198: ok gna bug069: ok gna issue392: ok gna bug07: failed gna issue2200: ok gna issue394: failed gna issue2202: ok gna issue2209: ok gna issue395: ok gna bug071: ok gna bug072: ok gna issue397: failed gna issue40: ok gna issue2212: failed gna bug073: failed gna issue400: failed gna issue2215: failed gna bug074: failed gna bug075: ok gna issue406: failed gna bug077: failed gna bug078: failed gna bug079: failed gna issue407: ok gna issue413: ok gna issue2216: failed gna issue418: failed gna issue2217: ok gna issue419: ok gna issue42: ok gna issue2218: failed gna issue2219: ok gna issue2221: ok gna issue424: failed gna issue2223: failed gna bug08: failed gna issue43: failed gna bug080: ok gna issue439: ok gna bug081: ok gna bug082: ok gna issue44: failed gna bug083: failed gna bug084: failed gna issue440: ok gna issue441: ok gna bug085: ok gna issue447: ok gna issue449: ok gna bug086: failed gna bug087: ok gna bug088: ok gna bug09: failed gna issue45: failed gna bug090: ok gna bug092: ok gna issue450: failed gna bug093: ok gna issue451: failed gna issue456: ok gna bug094: failed gna issue458: ok gna bug096: ok gna bug097: failed gna bug098: ok gna bug099: ok gna bug14953: ok gna bug15638: failed gna bug15702: ok gna bug15933: ok gna issue459: ok gna bug15966: failed gna issue461: failed gna bug15993: failed gna bug16095: ok gna bug16096: ok gna bug16144: ok gna bug16287: failed gna bug16695: failed gna bug16782: ok gna bug17127: failed gna bug17202: ok gna bug17203: failed gna bug17309: failed gna bug17545: failed gna bug17759: failed gna bug18280: failed gna bug18351: failed gna bug18353: ok gna bug18359: failed gna bug18360: ok gna bug18361: ok gna bug18659: ok gna bug18810: failed gna bug19195: ok gna bug20255: ok gna bug20312: failed gna bug20549: failed gna bug20597: ok gna bug20703: ok gna bug20767: ok gna bug20771: ok gna bug21052: ok gna bug21078: ok gna bug21274: ok gna bug21332: ok gna bug21487: failed gna bug21497: failed gna bug21500: failed gna bug21513: ok gna bug22868: ok gna bug23013: ok gna bug23165: failed gna bug23482: ok gna bug24064: failed gna bug24065: ok gna bug24324: ok gna bug24326: ok gna bug7186: ok gna bug7751: failed gna deb573721: ok gna deb585748: ok gna deb585750: ok gna fst01: ok gna gls7: ok gna issue10: failed gna issue1015: ok gna issue103: ok gna issue1038: failed gna issue1055: failed gna issue1057: ok gna issue106: failed gna issue1063: failed gna issue1066: ok gna issue1067: failed gna issue107: ok gna issue1120: failed gna issue1123: failed gna issue1125: failed gna issue1128: failed gna issue1129: failed gna issue1131: failed gna issue1137: failed gna issue1138: failed gna issue1145: failed gna issue1152: ok gna issue1191: failed gna issue1196: ok gna issue1201: failed gna issue1206: ok gna issue1224: failed gna issue1226: failed gna issue1228: failed gna issue1229: ok gna issue123: failed gna issue1233: failed gna issue1240: ok gna issue1246: failed gna issue1249: ok gna issue125: failed gna issue1252: ok gna issue1256: failed gna issue1257: failed gna issue126: ok gna issue1262: failed gna issue1268: failed gna issue1269: ok gna issue1274: ok gna issue1287: ok gna issue1288: ok gna issue1292: failed gna issue1295: failed gna issue1300: failed gna issue132: ok gna issue1323: failed gna issue1326: ok gna issue1338: failed gna issue1346: ok gna issue1347: failed gna issue1354: failed gna issue136: ok gna issue1360: failed gna issue1361: failed gna issue1362: ok gna perf02-long: failed gna sr2553: ok gna sr2655: failed gna sr2676: failed gna sr2737: failed gna sr2903: ok gna sr2940: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna ticket104: ok gna ticket109: ok gna ticket11: failed gna ticket14: failed gna ticket15: ok gna ticket18: failed gna ticket19: failed gna ticket20: failed gna ticket24: failed gna ticket26: failed gna ticket29: ok gna ticket30: ok gna ticket31: ok gna ticket32: failed gna ticket35: ok gna ticket37: failed gna ticket38: failed gna ticket39: failed gna ticket40: ok gna ticket41: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna ticket51: ok gna ticket52: ok gna ticket53: failed gna ticket54: ok gna ticket55: ok gna ticket56: ok gna ticket57: ok gna ticket58: ok gna ticket59: failed gna ticket61: failed gna ticket62: ok gna ticket63: ok gna ticket64: ok gna ticket65: ok gna ticket66: failed gna ticket67: ok gna ticket68: ok gna ticket69: failed gna ticket70: failed gna ticket71: failed gna ticket73: failed gna ticket74: failed gna ticket75: ok gna ticket76: ok gna ticket77: failed gna ticket78: failed gna ticket79: ok gna ticket81: ok gna ticket83: ok gna ticket84: failed gna ticket86: failed gna ticket87: ok gna ticket88: ok gna ticket9: ok gna ticket90: ok gna ticket92: failed gna ticket93: ok gna ticket94: failed gna ticket95: ok gna ticket96: failed gna ticket97: ok gna ticket98: ok gna issue465: failed analyze e.vhdl elaborate and simulate e ./e:error: NULL access dereferenced ./e:error: error during elaboration gna bug01: failed analyze foo.vhdl elaborate and simulate foo ./foo:error: NULL access dereferenced ./foo:error: error during elaboration gna issue467: failed analyze eu_tb.vhdl elaborate and simulate eu_tb ./eu_tb:error: NULL access dereferenced ./eu_tb:error: error during elaboration gna issue2244: failed analyze mve.vhdl elaborate and simulate mve ./mve:error: cannot open file "STD_INPUT" ./mve:error: error during elaboration gna issue225: failed analyze repro.vhdl elaborate and simulate foo_tb ./foo_tb:error: NULL access dereferenced ./foo_tb:error: error during elaboration gna issue1376: failed analyze repro1.vhdl elaborate and simulate repro1_tb ./repro1_tb:error: cannot open file "STD_INPUT" ./repro1_tb:error: error during elaboration gna bug010: failed analyze FIFO.vhdl TestFIFO.vhdl TestFIFO.vhdl:151:29:warning: declaration of "i" hides variable "i" [-Whide] for i in 0 to 255 loop ^ TestFIFO.vhdl:159:29:warning: declaration of "i" hides variable "i" [-Whide] for i in 0 to 255 loop ^ elaborate and simulate testfifo --stop-time=4us ./testfifo:error: NULL access dereferenced ./testfifo:error: error during elaboration gna issue2250: failed analyze ent.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue473: failed analyze tbmem.vhdl elaborate and simulate tbmem ./tbmem:error: cannot open file "STD_INPUT" ./tbmem:error: error during elaboration gna issue2264: failed try to analyze repro1.vhdl repro1.vhdl:29:27: can't match "my_cst" with type record type "my_rec" v := work.repro1_pkg4.my_cst; ^ analyze tb.vhdl elaborate and simulate test_pattern_generator_tb --stop-time=100ns ./test_pattern_generator_tb:error: cannot open file "STD_INPUT" ./test_pattern_generator_tb:error: error during elaboration gna issue2269: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue476: failed analyze pixel_pkg.vhd pixel_column_pkg.vhd pixel_matrix_pkg.vhd test_op.vhd elaborate and simulate test_op ./test_op:error: cannot open file "STD_INPUT" ./test_op:error: error during elaboration gna issue227: failed analyze repro.vhdl elaborate and simulate test analyze --ieee=synopsys test.vhdl test.vhdl:1:1:warning: entity "test" was also defined in file "repro.vhdl" [-Wlibrary] library ieee; ^ elaborate and simulate --ieee=synopsys test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2276: failed analyze mwe.vhdl elaborate and simulate mwe ./mwe:error: cannot open file "STD_INPUT" ./mwe:error: error during elaboration gna bug0103: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue1389: failed analyze mwe.vhdl elaborate and simulate mwe ./mwe:error: NULL access dereferenced ./mwe:error: error during elaboration gna issue228: failed analyze tb.vhdl gna issue1392: failed analyze file15.vhdl elaborate and simulate file15 ./file15:error: NULL access dereferenced in process .file15(rtl).P0 ./file15:error: simulation failed gna bug0105: failed analyze econcat1.vhdl elaborate and simulate econcat1 ./econcat1:error: NULL access dereferenced ./econcat1:error: error during elaboration gna issue2288: failed analyze tb_minimal.vhdl elaborate and simulate tb_minimal ./tb_minimal:error: cannot open file "STD_INPUT" ./tb_minimal:error: error during elaboration gna issue14: failed analyze repro.vhdl elaborate and simulate repro --assert-level=error ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna bug0109: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(behavioral).P0 ./repro:error: simulation failed gna issue2299: failed analyze tb_dct8.vhdl elaborate and simulate tb_dct8 ./tb_dct8:error: cannot open file "STD_INPUT" ./tb_dct8:error: error during elaboration gna issue1404: failed analyze pkg.vhdl analyze types_pkg.vhdl analyze tb.vhdl elaborate design_tb simulate design_tb --wave=tb.ghw ( design_tb --wave=tb.ghw) ./design_tb:error: NULL access dereferenced ./design_tb:error: error during elaboration gna bug011: failed analyze phonybench.vhdl elaborate phonybench elaborate and simulate phonybench --stop-time=1sec --fst=pb.fst ./phonybench:error: NULL access dereferenced ./phonybench:error: error during elaboration gna issue1405: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue1415: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna bug0115: failed analyze repro1.vhdl elaborate and simulate repro1 --checks ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna issue1416: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna bug0117: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced in process .repro1(behav).P0 ./repro1:error: simulation failed gna bug012: failed analyze demo.vhd elaborate and simulate bar structural ./bar-structural:error: NULL access dereferenced ./bar-structural:error: error during elaboration gna issue1419: failed try to analyze -Werror repro3.vhdl repro3.vhdl:10:36: static expression violates bounds constant k : t_record := ("abc", 0); -- BOUND error ^ try to analyze -Werror repro4.vhdl repro4.vhdl:7:40: element is out of the bounds constant k : natural_array := (0, 1, -2); ^ analyze repro1.vhdl elaborate and simulate repro1 analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced ./repro2:error: error during elaboration gna bug0123: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue2326: failed analyze generic_package.vhdl elaborate and simulate testbench ./testbench:error: NULL access dereferenced ./testbench:error: error during elaboration gna bug0125: failed analyze repro.vhdl tb.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced in process .tb(behav).P0 ./tb:error: simulation failed gna issue1420: failed analyze repro1.vhdl /<>/testrundir/llvm/usr/bin/ghdl-llvm: file 'repro1' does not exist /<>/testrundir/llvm/usr/bin/ghdl-llvm: Please elaborate your design. analyze repro3.vhdl gna issue233: failed analyze test.vhdl analyze repro.vhdl repro.vhdl:1:1:warning: entity "test1" was also defined in file "test.vhdl" [-Wlibrary] ENTITY test1 IS ^ repro.vhdl:16:1:warning: entity "test" was also defined in file "test.vhdl" [-Wlibrary] ENTITY test IS ^ elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna bug0128: failed analyze test2.vhdl gna bug0129: failed analyze mwe.vhdl elaborate and simulate mwe ./mwe:error: cannot open file "STD_INPUT" ./mwe:error: error during elaboration gna issue2336: failed analyze test_issue_ok.vhdl elaborate and simulate test_issue_ok --assert-level=error ./test_issue_ok:error: cannot open file "STD_INPUT" ./test_issue_ok:error: error during elaboration gna bug0131: failed try to analyze foo.vhdl foo.vhdl:18:17: choice must be locally static expression WHEN B => ^ analyze foo.vhdl elaborate and simulate foo ./foo:error: cannot open file "STD_INPUT" ./foo:error: error during elaboration gna issue2337: failed analyze repro_tb.vhdl elaborate and simulate repro_tb ./repro_tb:error: cannot open file "STD_INPUT" ./repro_tb:error: error during elaboration gna issue1429: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2344: failed analyze ent1.vhdl elaborate and simulate ent2 ./ent2:error: NULL access dereferenced ./ent2:error: error during elaboration gna issue2346: failed analyze aggr.vhdl elaborate and simulate AggregateWithDelay ./aggregatewithdelay:error: cannot open file "STD_INPUT" ./aggregatewithdelay:error: error during elaboration gna bug0134: failed analyze test_logic.vhdl elaborate and simulate test_logic test_logic.vhdl:29:5:warning: instance "x1" of component "AND1" is not bound [-Wbinding] x1: AND1 port map(s => "not"(a), ^ test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) test_logic.vhdl:32:5:warning: instance "x2" of component "AND1" is not bound [-Wbinding] x2: AND1 port map(s => "not"(b), ^ test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) test_logic.vhdl:35:5:warning: instance "x3" of component "AND1" is not bound [-Wbinding] x3: AND1 port map(s => a, ^ test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) test_logic.vhdl:38:5:warning: instance "x4" of component "OR1" is not bound [-Wbinding] x4: OR1 port map(x => e, ^ test_logic.vhdl:8:14:warning: (in default configuration of test_logic(structure)) ./test_logic:error: NULL access dereferenced ./test_logic:error: error during elaboration gna issue1431: failed analyze config_example.vhdl elaborate and simulate config_example ./config_example:error: NULL access dereferenced ./config_example:error: error during elaboration gna bug0136: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna issue1440: failed analyze tb_last_value_bug.vhdl elaborate and simulate tb_last_value_bug --stop-time=50ns ./tb_last_value_bug:error: cannot open file "STD_INPUT" ./tb_last_value_bug:error: error during elaboration gna issue1443: failed analyze e.vhdl elaborate and simulate e ./e:error: cannot open file "STD_INPUT" ./e:error: error during elaboration gna bug015: failed analyze phys.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced in process .tb(test).P0 ./tb:error: simulation failed gna issue1453: failed analyze bug.vhdl ent.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue2353: failed analyze repro1.vhdl elaborate and simulate repro1 repro1.vhdl:11:5:@0ms:(report note): get1 called ./repro1:error: NULL access dereferenced in process .repro1(behav).P0 ./repro1:error: simulation failed gna bug017: failed analyze call1.vhdl elaborate and simulate call1 --assert-level=error ./call1:error: NULL access dereferenced in process .call1(behav).P0 ./call1:error: simulation failed Failure of call1 gna issue2356: failed analyze repro_tb.vhdl elaborate and simulate repro_tb ./repro_tb:error: cannot open file "STD_INPUT" ./repro_tb:error: error during elaboration gna bug018: failed analyze repro.vhdl elaborate and simulate repro_ent ./repro_ent:error: NULL access dereferenced ./repro_ent:error: error during elaboration gna issue2357: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna issue1469: failed try to analyze ent.vhdl ent.vhdl:11:9: target (constant interface "a") is not a signal a(a'high) <= a-1; ^ ent.vhdl:11:23: no function declarations for operator "-" a(a'high) <= a-1; ^ analyze ent1.vhdl elaborate and simulate ent1 ./ent1:error: cannot open file "STD_INPUT" ./ent1:error: error during elaboration gna issue237: failed analyze test_array.vhdl elaborate test_array /usr/bin/gcc-13 /usr/bin/gcc-13 -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -c vpi1.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC /usr/bin/gcc-13 -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o vpi1.vpi vpi1.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate test_array --vpi=./vpi1.vpi ( test_array --vpi=./vpi1.vpi) loading VPI module './vpi1.vpi' VPI module loaded! ./test_array:error: NULL access dereferenced ./test_array:error: error during elaboration gna issue1474: failed analyze ent1.vhdl elaborate and simulate ent1 ./ent1:error: cannot open file "STD_INPUT" ./ent1:error: error during elaboration gna issue238: failed analyze cst.vhdl pkg.vhdl analyze var1.vhdl var2.vhdl var3.vhdl var4.vhdl analyze assign1.vhdl proc1.vhdl elaborate and simulate proc1 ./proc1:error: NULL access dereferenced in process .proc1(behav).P0 ./proc1:error: simulation failed gna issue1493: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2381: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2388: failed run /<>/testrundir/llvm/usr/bin/ghdl-llvm -i top_a.vhd top_e.vhd run /<>/testrundir/llvm/usr/bin/ghdl-llvm -m unit_a analyze top_e.vhd analyze top_a.vhd elaborate unit_a simulate unit_a ( unit_a) ./unit_a:error: NULL access dereferenced ./unit_a:error: error during elaboration gna issue15: failed analyze to_slv_issue.vhdl elaborate and simulate to_slv_issue ./to_slv_issue:error: cannot open file "STD_INPUT" ./to_slv_issue:error: error during elaboration gna issue2393: failed analyze cast_enum1.vhdl elaborate and simulate cast_enum1 ./cast_enum1:error: NULL access dereferenced ./cast_enum1:error: error during elaboration gna issue150: failed analyze concat2.vhdl elaborate and simulate (failure expected) concat ./concat:error: NULL access dereferenced ./concat:error: error during elaboration Remove work library analyze concat2.vhdl elaborate and simulate concat ./concat:error: NULL access dereferenced ./concat:error: error during elaboration gna issue2395: failed analyze test.vhdl test.vhdl:34:17:warning: declaration of "axis_t" hides type "axis_t" [-Whide] subtype axis_t is axi4s.axis_t( ^ elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue50: failed analyze vector.d/pkg_tb.vhd analyze vector.d/add_118.vhd analyze vector.d/add_134.vhd analyze vector.d/add_170.vhd analyze vector.d/add_171.vhd analyze vector.d/add_188.vhd analyze vector.d/add_214.vhd analyze vector.d/add_220.vhd analyze vector.d/assert_uut.vhd analyze vector.d/cmp_111.vhd analyze vector.d/cmp_112.vhd analyze vector.d/cmp_113.vhd analyze vector.d/cmp_114.vhd analyze vector.d/cmp_115.vhd analyze vector.d/cmp_119.vhd analyze vector.d/cmp_126.vhd analyze vector.d/cmp_127.vhd analyze vector.d/cmp_128.vhd analyze vector.d/cmp_129.vhd analyze vector.d/cmp_130.vhd analyze vector.d/cmp_131.vhd analyze vector.d/cmp_132.vhd analyze vector.d/cmp_133.vhd analyze vector.d/cmp_135.vhd analyze vector.d/cmp_136.vhd analyze vector.d/cmp_137.vhd analyze vector.d/cmp_138.vhd analyze vector.d/cmp_139.vhd analyze vector.d/cmp_140.vhd analyze vector.d/cmp_141.vhd analyze vector.d/cmp_142.vhd analyze vector.d/cmp_146.vhd analyze vector.d/cmp_148.vhd analyze vector.d/cmp_150.vhd analyze vector.d/cmp_152.vhd analyze vector.d/cmp_154.vhd analyze vector.d/cmp_156.vhd analyze vector.d/cmp_158.vhd analyze vector.d/cmp_160.vhd analyze vector.d/cmp_174.vhd analyze vector.d/cmp_176.vhd analyze vector.d/cmp_178.vhd analyze vector.d/cmp_180.vhd analyze vector.d/cmp_183.vhd analyze vector.d/cmp_185.vhd analyze vector.d/cmp_187.vhd analyze vector.d/cmp_191.vhd analyze vector.d/cmp_193.vhd analyze vector.d/cmp_195.vhd analyze vector.d/cmp_198.vhd analyze vector.d/cmp_200.vhd analyze vector.d/cmp_202.vhd analyze vector.d/cmp_204.vhd analyze vector.d/cmp_215.vhd analyze vector.d/cmp_216.vhd analyze vector.d/cmp_217.vhd analyze vector.d/cmp_218.vhd analyze vector.d/cmp_221.vhd analyze vector.d/fsm.vhd analyze vector.d/fsm_15.vhd analyze vector.d/muxb_117.vhd analyze vector.d/muxb_120.vhd analyze vector.d/muxb_121.vhd analyze vector.d/muxb_123.vhd analyze vector.d/muxb_124.vhd analyze vector.d/muxb_219.vhd analyze vector.d/prog.vhd analyze vector.d/sub_125.vhd analyze vector.d/sub_145.vhd analyze vector.d/sub_189.vhd analyze vector.d/sync_ram.vhd analyze vector.d/v_split0.vhd analyze vector.d/v_split1.vhd analyze vector.d/v_split2.vhd analyze vector.d/v_split3.vhd analyze vector.d/v_split4.vhd analyze vector.d/v_split5.vhd analyze vector.d/v_split6.vhd analyze vector.d/v_split7.vhd analyze vector.d/w_split0.vhd analyze vector.d/w_split1.vhd analyze vector.d/w_split2.vhd analyze vector.d/w_split3.vhd analyze vector.d/w_split4.vhd analyze vector.d/w_split5.vhd analyze vector.d/w_split6.vhd analyze vector.d/w_split7.vhd analyze vector.d/top.vhd analyze vector.d/cp3_test.vhd analyze vector.d/tb.vhd elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue2396: failed analyze frequency.vhdl frequency.vhdl:8:10:warning: declaration of "frequency" hides package "frequency" [-Whide] type frequency is range 0 to 2e9 units ^ analyze tb_freq.vhdl elaborate and simulate tb_freq --stop-time=1us ./tb_freq:error: cannot open file "STD_INPUT" ./tb_freq:error: error during elaboration gna issue1515: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced ./ent:error: error during elaboration gna issue1523: failed analyze repro.vhdl elaborate and simulate ghdl_bug_repro ./ghdl_bug_repro:error: cannot open file "STD_INPUT" ./ghdl_bug_repro:error: error during elaboration gna issue2407: failed analyze shift_register.vhdl analyze shift_register_tb.vhdl elaborate and simulate shift_register_tb ./shift_register_tb:error: cannot open file "STD_INPUT" ./shift_register_tb:error: error during elaboration gna issue1528: failed analyze attrs_pkg.vhdl ent1.vhdl ent2.vhdl ent3.vhdl uattr3.vhdl elaborate and simulate uattr3 ./uattr3:error: NULL access dereferenced ./uattr3:error: error during elaboration gna bug019: failed analyze --work=poc PoC/tb/common/my_config_ML505.vhdl analyze --work=poc PoC/tb/common/my_project.vhdl analyze --work=poc PoC/src/common/utils.vhdl PoC/src/common/utils.vhdl:576:17:warning: expression constraints don't match target ones [-Wruntime-error] Result := 0; ^ analyze --work=poc PoC/src/common/config.vhdl analyze --work=poc PoC/src/common/strings.vhdl analyze --work=poc PoC/src/common/vectors.vhdl PoC/src/common/vectors.vhdl:101:107:warning: declaration of "High" hides function "high" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low ^ PoC/src/common/vectors.vhdl:101:123:warning: declaration of "Low" hides function "low" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low ^ PoC/src/common/vectors.vhdl:107:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low ^ PoC/src/common/vectors.vhdl:107:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low ^ PoC/src/common/vectors.vhdl:113:59:warning: declaration of "High" hides function "high" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low ^ PoC/src/common/vectors.vhdl:113:75:warning: declaration of "Low" hides function "low" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low ^ PoC/src/common/vectors.vhdl:215:107:warning: declaration of "High" hides function "high" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is ^ PoC/src/common/vectors.vhdl:215:123:warning: declaration of "Low" hides function "low" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is ^ PoC/src/common/vectors.vhdl:246:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ PoC/src/common/vectors.vhdl:246:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ PoC/src/common/vectors.vhdl:286:59:warning: declaration of "High" hides function "high" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is ^ PoC/src/common/vectors.vhdl:286:75:warning: declaration of "Low" hides function "low" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is ^ analyze --work=poc PoC/src/common/physical.vhdl analyze --work=poc PoC/src/common/components.vhdl analyze --work=poc PoC/tb/common/simulation.v93.vhdl analyze --work=poc PoC/src/io/uart/uart.pkg.vhdl analyze --work=poc PoC/src/io/uart/uart_bclk.vhdl analyze --work=poc PoC/src/io/uart/uart_rx.vhdl analyze --work=test PoC/tb/io/uart/uart_rx_tb.vhdl PoC/tb/io/uart/uart_rx_tb.vhdl:64:64:warning: declaration of "Baudrate" hides constant "BAUDRATE" [-Whide] function simGenerateWaveform_UART_Word(Data : T_SLV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is ^ PoC/tb/io/uart/uart_rx_tb.vhdl:76:67:warning: declaration of "Baudrate" hides constant "BAUDRATE" [-Whide] function simGenerateWaveform_UART_Stream(Data : T_SLVV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is ^ elaborate and simulate --syn-binding --work=test uart_rx_tb --stop-time=15us ./uart_rx_tb:error: NULL access dereferenced ./uart_rx_tb:error: error during elaboration gna issue529: failed analyze impure1.vhdl elaborate and simulate impure_ex ./impure_ex:error: cannot open file "STD_INPUT" ./impure_ex:error: error during elaboration gna bug02: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(behav).P0 ./repro:error: simulation failed gna issue1549: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue530: failed analyze sample_slice_ports.vhdl analyze repro2.vhdl repro2.vhdl:1:1:warning: entity "submodule" was also defined in file "sample_slice_ports.vhdl" [-Wlibrary] library ieee; ^ repro2.vhdl:17:1:warning: entity "sliced_ex" was also defined in file "sample_slice_ports.vhdl" [-Wlibrary] library ieee; ^ elaborate and simulate sliced_ex ./sliced_ex:error: NULL access dereferenced ./sliced_ex:error: error during elaboration gna bug022: failed analyze test.vhdl elaborate and simulate test ./test:error: NULL access dereferenced ./test:error: error during elaboration gna issue2410: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna bug023: failed analyze ppkg.vhdl ppkg_tb.vhdl elaborate and simulate ppkg_tb ./ppkg_tb:error: NULL access dereferenced in process .ppkg_tb(behav).P0 ./ppkg_tb:error: simulation failed gna issue158: failed analyze repro.vhdl elaborate repro simulate repro --wave=repro.ghw ( repro --wave=repro.ghw) ./repro:error: NULL access dereferenced ./repro:error: error during elaboration cannot open ghw file repro.ghw gna issue2417: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: cannot open file "STD_INPUT" ./repro1:error: error during elaboration gna issue242: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue1589: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue2421: failed try to analyze --std=93 top.vhdl top.vhdl:12:19: 'others' choice not allowed for an aggregate in this context output <= (others => '0'); ^ top.vhdl:24:20: entity "top" was not analysed architecture a2 of top is ^ try to analyze --std=08 top.vhdl top.vhdl:12:19: 'others' choice not allowed for an aggregate in this context output <= (others => '0'); ^ top.vhdl:24:20: entity "top" was not analysed architecture a2 of top is ^ analyze top.vhdl elaborate and simulate top ./top:error: NULL access dereferenced ./top:error: error during elaboration gna issue1593: failed analyze element_bug.vhdl elaborate and simulate e1_tb ./e1_tb:error: NULL access dereferenced ./e1_tb:error: error during elaboration gna issue2422: failed analyze aggr_repro1.vhdl elaborate and simulate aggr_repro1 ./aggr_repro1:error: NULL access dereferenced ./aggr_repro1:error: error during elaboration gna issue543: failed analyze ent.vhdl elaborate and simulate ent ent.vhdl:7:3:@0ms:(assertion note): const'att(0) is 2 analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna bug03: failed analyze wor_std.vhdl elaborate and simulate foe ./foe:error: NULL access dereferenced ./foe:error: error during elaboration gna issue2424: failed analyze ent.vhdl elaborate and simulate top ./top:error: NULL access dereferenced ./top:error: error during elaboration gna issue1612: failed analyze repro.vhdl elaborate and simulate expose ./expose:error: NULL access dereferenced ./expose:error: error during elaboration gna issue547: failed analyze ghdl-bug.vhdl analyze repro.vhdl elaborate and simulate repro ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue552: failed analyze strings_test.vhdl strings_test.vhdl:23:93:warning: prefix of array attribute must be an object name [-Wattribute] assert false report "tic low of type'image : i =" & integer'image(T_TYPE'image(string2)'low) severity note; ^ elaborate and simulate strings_test ./strings_test:error: NULL access dereferenced ./strings_test:error: error during elaboration gna issue1625: failed analyze level2.vhdl analyze level1.vhdl elaborate and simulate level1 ./level1:error: cannot open file "STD_INPUT" ./level1:error: error during elaboration gna issue2429: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna issue163: failed analyze qualified_expr.vhdl elaborate and simulate qualified_expr ./qualified_expr:error: NULL access dereferenced ./qualified_expr:error: error during elaboration gna issue2430: failed analyze my_entity.vhdl elaborate and simulate my_entity ./my_entity:error: cannot open file "STD_INPUT" ./my_entity:error: error during elaboration gna issue561: failed analyze wishbone_pkg-2008.vhd analyze wb_demux.vhd analyze wb_demux_tb.vhd elaborate wb_demux_tb simulate wb_demux_tb --wave=w.ghw ( wb_demux_tb --wave=w.ghw) ./wb_demux_tb:error: cannot open file "STD_INPUT" ./wb_demux_tb:error: error during elaboration gna issue2432: failed analyze test_tb.vhdl elaborate and simulate test_tb ./test_tb:error: NULL access dereferenced ./test_tb:error: error during elaboration gna issue1654: failed analyze issue2.vhdl elaborate and simulate test_issue --assert-level=error ./test_issue:error: cannot open file "STD_INPUT" ./test_issue:error: error during elaboration gna issue584: failed analyze LinkedListPkg.vhd analyze Test_LinkedListPkg.vhd elaborate and simulate Test_LinkedListPkg ./test_linkedlistpkg:error: cannot open file "STD_INPUT" ./test_linkedlistpkg:error: error during elaboration gna issue2435: failed analyze my_pkg.vhdl my_tb.vhdl elaborate and simulate my_tb ./my_tb:error: cannot open file "STD_INPUT" ./my_tb:error: error during elaboration gna issue598: failed analyze tb.vhd elaborate and simulate tb ./tb:error: cannot open file "STD_INPUT" ./tb:error: error during elaboration gna issue2437: failed analyze tapped_delay_line.vhdl elaborate and simulate -g tapped_delay_line_tb ./tapped_delay_line_tb:error: cannot open file "STD_INPUT" ./tapped_delay_line_tb:error: error during elaboration gna issue606: failed analyze repro1a.vhdl repro1b.vhdl elaborate and simulate repro1a ./repro1a:error: NULL access dereferenced in process .repro1a(behav).P0 ./repro1a:error: error during elaboration gna issue244: failed analyze test.vhdl test.vhdl:10:12:warning: declaration of "test" hides entity "test" [-Whide] FUNCTION test ^ elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue1657: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(rtl).test_proc ./repro:error: simulation failed gna issue2440: failed analyze ent.vhdl elaborate and simulate axi4bfm_memory ./axi4bfm_memory:error: cannot open file "STD_INPUT" ./axi4bfm_memory:error: error during elaboration gna issue610: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna issue2441: failed analyze ent.vhdl elaborate and simulate anotherbug ./anotherbug:error: NULL access dereferenced ./anotherbug:error: error during elaboration gna issue1667: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue615: failed analyze repr.vhdl elaborate repr simulate repr --wave=repr.ghw ( repr --wave=repr.ghw) ./repr:error: cannot open file "STD_INPUT" ./repr:error: error during elaboration gna issue2445: failed analyze lfsr.vhdl analyze blk.vhdl elaborate and simulate blk ./blk:error: NULL access dereferenced ./blk:error: error during elaboration gna issue616: failed analyze mwe.vhdl elaborate and simulate mwe ./mwe:error: NULL access dereferenced in process .mwe(sim).p_check_requests ./mwe:error: simulation failed gna issue1672: failed analyze dut.vhdl analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2448: failed analyze debouncer.vhdl debouncer_no_vunit_not_ok_tb.vhdl elaborate and simulate debouncer_no_vunit_not_ok_tb ./debouncer_no_vunit_not_ok_tb:error: cannot open file "STD_INPUT" ./debouncer_no_vunit_not_ok_tb:error: error during elaboration gna bug035: failed analyze --work=poc my_config_ML505.vhdl analyze --work=poc my_project.vhdl analyze --work=poc utils.vhdl analyze --work=poc config.vhdl config.vhdl:650:26:warning: declaration of "BOARD_NAME" hides function "BOARD_NAME" [-Whide] constant BOARD_NAME : STRING := str_trim(MY_BRD); ^ analyze --work=poc strings.vhdl analyze --work=poc vectors.vhdl vectors.vhdl:101:107:warning: declaration of "High" hides function "high" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low ^ vectors.vhdl:101:123:warning: declaration of "Low" hides function "low" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low ^ vectors.vhdl:107:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low ^ vectors.vhdl:107:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low ^ vectors.vhdl:108:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low ^ vectors.vhdl:108:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low ^ vectors.vhdl:118:59:warning: declaration of "High" hides function "high" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low ^ vectors.vhdl:118:75:warning: declaration of "Low" hides function "low" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low ^ vectors.vhdl:231:107:warning: declaration of "High" hides function "high" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is ^ vectors.vhdl:231:123:warning: declaration of "Low" hides function "low" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is ^ vectors.vhdl:262:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:262:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:273:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:273:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:349:59:warning: declaration of "High" hides function "high" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is ^ vectors.vhdl:349:75:warning: declaration of "Low" hides function "low" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is ^ analyze --work=poc physical.vhdl analyze --work=poc simulation.v93.vhdl analyze --work=poc arith_prng.vhdl analyze arith_prng_tb.vhdl elaborate and simulate arith_prng_tb ./arith_prng_tb:error: NULL access dereferenced ./arith_prng_tb:error: error during elaboration gna issue2452: failed analyze foo.vhdl tb_foo.vhdl elaborate and simulate tb_foo ./tb_foo:error: NULL access dereferenced ./tb_foo:error: error during elaboration gna issue620: failed analyze type_declaration_pkg.vhd analyze type_user_pkg.vhd analyze test_tb.vhd elaborate and simulate test_tb ./test_tb:error: cannot open file "STD_INPUT" ./test_tb:error: error during elaboration gna issue1688: failed analyze test2.vhdl elaborate and simulate test ./test:error: NULL access dereferenced ./test:error: error during elaboration gna issue626: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue2454: failed analyze bug_test.vhdl elaborate and simulate bug_test --stop-time=100ns ./bug_test:error: cannot open file "STD_INPUT" ./bug_test:error: error during elaboration gna issue1689: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2459: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(a).P0 ./repro:error: simulation failed gna issue1690: failed analyze top.vhd elaborate and simulate tb_top --psl-report-uncovered ./tb_top:error: cannot open file "STD_INPUT" ./tb_top:error: error during elaboration gna issue17: failed analyze cond_assign_var.vhdl elaborate and simulate cond_assign_var ./cond_assign_var:error: cannot open file "STD_INPUT" ./cond_assign_var:error: error during elaboration gna issue1704: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced in process .repro1(behav).P0 ./repro1:error: simulation failed gna issue2470: failed analyze min_gen_pkg_tb_e.vhdl elaborate and simulate min_gen_pkg_tb_e ./min_gen_pkg_tb_e:error: cannot open file "STD_INPUT" ./min_gen_pkg_tb_e:error: error during elaboration gna issue1708: failed try to analyze tb_top.vhdl tb_top.vhdl:32:45: '[=' not allowed on a SERE my_seq : assert never {a = '1'; b = '1'}[=3]; ^ try to analyze ex3.vhdl ex3.vhdl:31:36: '[->' not allowed on a SERE my_seq : assert never {a = '1'}[->3]; ^ try to analyze ex4.vhdl ex4.vhdl:31:45: '[->' not allowed on a SERE my_seq : assert never {a = '1'; b = '1'}[->3]; ^ analyze ex1.vhdl elaborate and simulate ex1 ./ex1:error: cannot open file "STD_INPUT" ./ex1:error: error during elaboration gna issue2471: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue1715: failed analyze mwe.vhdl elaborate and simulate mwe ./mwe:error: cannot open file "STD_INPUT" ./mwe:error: error during elaboration gna issue2473: failed analyze repro.vhdl analyze repro2.vhdl analyze repro3.vhdl elaborate and simulate repro3 ./repro3:error: NULL access dereferenced ./repro3:error: error during elaboration gna issue637: failed analyze example.vhdl elaborate and simulate example ./example:error: NULL access dereferenced in process .example(tb).P0 ./example:error: simulation failed gna issue2476: failed analyze bug3.vhdl elaborate and simulate bug_from_2417_fix ./bug_from_2417_fix:error: NULL access dereferenced ./bug_from_2417_fix:error: error during elaboration gna issue641: failed analyze ent.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue642: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(a).P0 ./repro:error: error during elaboration gna issue2477: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: cannot open file "STD_INPUT" ./repro2:error: error during elaboration gna issue643: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue2480: failed analyze foo.vhdl elaborate foo simulate foo --vcd=foo.vcd --vcd-enums --vcd-nodate ( foo --vcd=foo.vcd --vcd-enums --vcd-nodate) foo.vhdl:16:13:@10ns:(report note): state = a ./foo:error: NULL access dereferenced in process .foo(fum).P0 ./foo:error: simulation failed gna issue645: failed analyze foo.vhdl analyze tb.vhdl elaborate and simulate tb ./tb:error: cannot open file "STD_INPUT" ./tb:error: error during elaboration gna issue2481: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue646: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue648: failed analyze ice.vhdl elaborate and simulate e ./e:error: NULL access dereferenced ./e:error: error during elaboration gna issue2486: failed analyze bug.vhdl analyze bug2.vhdl bug2.vhdl:10:17:warning: declaration of "a" hides signal "a" [-Whide] function foo (a, b: std_ulogic) return std_ulogic is ^ bug2.vhdl:10:20:warning: declaration of "b" hides signal "b" [-Whide] function foo (a, b: std_ulogic) return std_ulogic is ^ elaborate and simulate bug2 ./bug2:error: cannot open file "STD_INPUT" ./bug2:error: error during elaboration gna issue1757: failed try to analyze testm.vhdl testm.vhdl:38:10: choice subtype is not locally static case (fred(1 downto 0)) is ^ Remove work library analyze testm.vhdl elaborate and simulate testm ./testm:error: cannot open file "STD_INPUT" ./testm:error: error during elaboration gna issue660: failed analyze testent.vhdl elaborate and simulate test_core ./test_core:error: NULL access dereferenced ./test_core:error: error during elaboration gna issue2489: failed analyze ent.vhdl ent.vhdl:15:14:warning: NUMERIC_STD.TO_SIGNED: vector truncated A_sv4 := to_signed(11, 4); ^ elaborate and simulate ent ent.vhdl:15:14:warning: NUMERIC_STD.TO_SIGNED: vector truncated A_sv4 := to_signed(11, 4); ^ ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue663: failed analyze ent.vhdl ent.vhdl:20:12:warning: declaration of "clock" hides process labeled "clock" [-Whide] signal clock : in std_logic; ^ ent.vhdl:21:12:warning: declaration of "en" hides signal "en" [-Whide] signal en : in std_logic; ^ ent.vhdl:22:12:warning: declaration of "start_event" hides signal "start_event" [-Whide] signal start_event : in std_logic; ^ ent.vhdl:23:12:warning: declaration of "end_event" hides signal "end_event" [-Whide] signal end_event : in std_logic; ^ ent.vhdl:24:12:warning: declaration of "expr" hides signal "expr" [-Whide] signal expr : in std_logic_vector) is ^ elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).check_stable_1 ./ent:error: simulation failed gna issue2497: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna bug037: failed analyze repro.vhdl /<>/testrundir/llvm/usr/bin/ghdl-llvm: file 'repro' does not exist /<>/testrundir/llvm/usr/bin/ghdl-llvm: Please elaborate your design. Remove work library analyze --work=poc my_config_ML505.vhdl analyze --work=poc my_project.vhdl analyze --work=poc utils.vhdl utils.vhdl:189:49:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; ^ utils.vhdl:189:73:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; ^ utils.vhdl:190:49:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; ^ utils.vhdl:190:73:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER; ^ utils.vhdl:191:49:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL; ^ utils.vhdl:191:89:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL; ^ utils.vhdl:888:41:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is ^ utils.vhdl:888:60:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] function scale(Value : INTEGER; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is ^ utils.vhdl:893:38:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is ^ utils.vhdl:893:57:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : INTEGER; Maximum : INTEGER; RoundingStyle : T_ROUNDING_STYLE := ROUND_TO_NEAREST) return INTEGER is ^ utils.vhdl:911:38:warning: declaration of "Minimum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL is ^ utils.vhdl:911:54:warning: declaration of "Maximum" hides function "T_BCD " [-Whide] function scale(Value : REAL; Minimum : REAL; Maximum : REAL) return REAL is ^ analyze --work=poc config.vhdl config.vhdl:650:26:warning: declaration of "BOARD_NAME" hides function "BOARD_NAME" [-Whide] constant BOARD_NAME : STRING := str_trim(MY_BRD); ^ analyze --work=poc strings.vhdl analyze --work=poc vectors.vhdl vectors.vhdl:101:107:warning: declaration of "High" hides function "high" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low ^ vectors.vhdl:101:123:warning: declaration of "Low" hides function "low" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL); -- assign short vector to row in range high:low ^ vectors.vhdl:107:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low ^ vectors.vhdl:107:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all rows in RowIndex range high:low ^ vectors.vhdl:108:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low ^ vectors.vhdl:108:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM; -- get submatrix / all columns in ColIndex range high:low ^ vectors.vhdl:118:59:warning: declaration of "High" hides function "high" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low ^ vectors.vhdl:118:75:warning: declaration of "Low" hides function "low" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR; -- get a sub vector of a matrix row at high:low ^ vectors.vhdl:231:107:warning: declaration of "High" hides function "high" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is ^ vectors.vhdl:231:123:warning: declaration of "Low" hides function "low" [-Whide] procedure assign_row(signal slm : out T_SLM; slv : STD_LOGIC_VECTOR; constant RowIndex : NATURAL; High : NATURAL; Low : NATURAL) is ^ vectors.vhdl:262:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:262:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_rows(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:273:46:warning: declaration of "High" hides function "high" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:273:62:warning: declaration of "Low" hides function "low" [-Whide] function slm_slice_cols(slm : T_SLM; High : NATURAL; Low : NATURAL) return T_SLM is ^ vectors.vhdl:349:59:warning: declaration of "High" hides function "high" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is ^ vectors.vhdl:349:75:warning: declaration of "Low" hides function "low" [-Whide] function get_row(slm : T_SLM; RowIndex : NATURAL; High : NATURAL; Low : NATURAL) return STD_LOGIC_VECTOR is ^ analyze --work=poc physical.vhdl analyze --work=poc sim_types.vhdl analyze --work=poc sim_protected.v08.vhdl analyze --work=poc sim_global.v08.vhdl analyze --work=poc sim_simulation.v08.vhdl analyze --work=poc arith.pkg.vhdl analyze --work=poc arith_addw.vhdl analyze arith_addw_tb.vhdl elaborate and simulate arith_addw_tb --stop-time=100ns ./arith_addw_tb:error: cannot open file "STD_INPUT" ./arith_addw_tb:error: error during elaboration gna issue1764: failed analyze repro.vhdl elaborate and simulate repro --assert-level=error ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue2498: failed analyze i2c_tb.vhdl elaborate and simulate i2c_tb ./i2c_tb:error: cannot open file "STD_INPUT" ./i2c_tb:error: error during elaboration gna issue664: failed analyze tb_pkg.vhdl analyze numeric_system_pkg.vhdl analyze reset_synchronizer.vhdl analyze quire_accumulator.vhdl analyze quire_accumulator_tb.vhdl elaborate quire_accumulator_tb simulate quire_accumulator_tb ( quire_accumulator_tb) ./quire_accumulator_tb:error: cannot open file "STD_INPUT" ./quire_accumulator_tb:error: error during elaboration gna issue2502: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna bug04: failed analyze --std=93 std_logic_warning.vhdl analyze test.vhdl test.vhdl:1:1:warning: package "std_logic_warning" was also defined in file "std_logic_warning.vhdl" [-Wlibrary] library ieee; ^ test.vhdl:25:1:warning: entity "warning_test" was also defined in file "std_logic_warning.vhdl" [-Wlibrary] library ieee; ^ elaborate and simulate warning_test test.vhdl:16:7:@0ms:(report warning): std_logic_warning."=": metavalue detected, returning FALSE ./warning_test:error: NULL access dereferenced in process .warning_test(foo).monitor ./warning_test:error: simulation failed gna issue2509: failed analyze repro1_1.vhdl repro1_2.vhdl repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced in process .repro1(behav).P0 ./repro1:error: error during elaboration gna issue1771: failed analyze tf.vhdl elaborate and simulate tf ./tf:error: cannot open file "STD_INPUT" ./tf:error: error during elaboration gna issue668: failed analyze wb_demux_tb.vhdl elaborate wb_demux_tb elaborate and simulate wb_demux_tb --dump-rti ./wb_demux_tb:error: cannot open file "STD_INPUT" ./wb_demux_tb:error: error during elaboration gna issue1772: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced ./repro2:error: error during elaboration gna issue2516: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2519: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue18: failed analyze fum.vhdl analyze integer_ambig.vhdl elaborate and simulate overload_index_issue ./overload_index_issue:error: NULL access dereferenced ./overload_index_issue:error: error during elaboration gna issue672: failed analyze SQR.vhd SQRTb.vhd SQRTb.vhd:43:14:warning: declaration of "clock" hides signal "clock" [-Whide] SIGNAL clock : IN STD_LOGIC; ^ SQRTb.vhd:45:14:warning: declaration of "vOut" hides signal "vOut" [-Whide] SIGNAL vOut : IN STD_LOGIC; ^ SQRTb.vhd:46:14:warning: declaration of "vIn" hides signal "vIn" [-Whide] SIGNAL vIn : OUT STD_LOGIC) IS ^ elaborate sqrtb simulate sqrtb --stop-time=1us ( sqrtb --stop-time=1us) ./sqrtb:error: NULL access dereferenced ./sqrtb:error: error during elaboration gna issue253: failed analyze e.vhdl elaborate and simulate e e.vhdl:8:3:@0ms:(assertion note): '0' e.vhdl:9:3:@0ms:(assertion note): '0' ./e:error: NULL access dereferenced in process .e(a).P2 ./e:error: simulation failed gna issue676: failed analyze adder.vhdl elaborate and simulate adder ./adder:error: cannot open file "STD_INPUT" ./adder:error: error during elaboration gna issue1814: failed analyze ent.vhdl top.vhdl elaborate and simulate top ./top:error: cannot open file "STD_INPUT" ./top:error: error during elaboration gna issue1818: failed analyze mwe.vhdl elaborate and simulate ghdl_mwe ./ghdl_mwe:error: cannot open file "STD_INPUT" ./ghdl_mwe:error: error during elaboration gna issue2536: failed analyze bug.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue685: failed analyze tb.vhdl elaborate wb_demux_tb simulate wb_demux_tb --trace-signals ( wb_demux_tb --trace-signals) 1,2c1,15 < ./wb_demux_tb:error: open file "STD_INPUT" < ./wb_demux_tb:error: during elaboration --- > .wb_demux_tb(bench).s.dat(1) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.dat(0) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.dat(1) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.dat(0) e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.dat(1) e8 -AE---- last_event=1ns last_active=1ns val='1'; drv='1' > .wb_demux_tb(bench).s.dat(0) e8 -AE---- last_event=1ns last_active=1ns val='1'; drv='1' > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.dat(1) e8 ------- last_event=1ns last_active=1ns val='1'; drv='1' > .wb_demux_tb(bench).s.dat(0) e8 ------- last_event=1ns last_active=1ns val='1'; drv='1' > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' > .wb_demux_tb(bench).s.dat(1) e8 -AE---- last_event=2ns last_active=2ns val='0'; drv='0' > .wb_demux_tb(bench).s.dat(0) e8 -AE---- last_event=2ns last_active=2ns val='0'; drv='0' > .wb_demux_tb(bench).s.we e8 ------- last_event=-9223372036854775807fs last_active=-9223372036854775807fs val='U'; drv='U' gna issue254: failed analyze e.vhdl elaborate and simulate e ./e:error: NULL access dereferenced in process .e(a).P0 ./e:error: simulation failed gna issue687: failed analyze ent.vhdl missing bound check failure gna issue689: failed analyze example.vhdl elaborate and simulate tb_example ./tb_example:error: cannot open file "STD_INPUT" ./tb_example:error: error during elaboration gna issue2540: failed analyze ent.vhdl elaborate and simulate test1 ./test1:error: cannot open file "STD_INPUT" ./test1:error: error during elaboration gna issue69: failed analyze --workdir=mylib/v93 --work=mylib mylib.vhdl analyze mytest.vhdl elaborate and simulate mytest ./mytest:error: NULL access dereferenced ./mytest:error: error during elaboration gna issue1831: failed analyze sipo.vhdl elaborate and simulate sipo ./sipo:error: cannot open file "STD_INPUT" ./sipo:error: error during elaboration gna issue2549: failed analyze pkg_types.vhd analyze pkg_foo_public.vhd analyze foo.vhd analyze tb_foo.vhd elaborate and simulate tb_foo --stop-time=1us ./tb_foo:error: cannot open file "STD_INPUT" ./tb_foo:error: error during elaboration gna issue2550: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: cannot open file "STD_INPUT" ./repro2:error: error during elaboration gna issue1832: failed try to analyze -Werror psl.vhdl psl.vhdl:20:3: property cannot fail INF_a : assert always {a} |=> {not b[*0 to inf]; b}; ^ analyze psl.vhdl psl.vhdl:20:3:warning: property cannot fail [-Wuseless] INF_a : assert always {a} |=> {not b[*0 to inf]; b}; ^ Remove work library analyze issue.vhdl issue.vhdl:79:3:warning: property cannot fail [-Wuseless] INF_a : assert always {a} |=> {not b[*0 to inf]; b}; ^ issue.vhdl:81:3:warning: property cannot fail [-Wuseless] INF_b : assert always {a} |=> {not b[*]; b}; ^ elaborate and simulate issue ./issue:error: cannot open file "STD_INPUT" ./issue:error: error during elaboration gna issue1833: failed analyze test.vhdl elaborate and simulate shared2 ./shared2:error: cannot open file "STD_INPUT" ./shared2:error: error during elaboration gna issue694: failed analyze mixer_pkg.vhdl mixer.vhdl mixer_tb.vhdl elaborate and simulate mixer_tb ./mixer_tb:error: cannot open file "STD_INPUT" ./mixer_tb:error: error during elaboration gna issue256: failed analyze testcase.vhd analyze testcase_testbench.vhd elaborate and simulate testcase_testbench ./testcase_testbench:error: NULL access dereferenced ./testcase_testbench:error: error during elaboration gna issue1836: failed analyze test2.vhdl elaborate and simulate test2 ./test2:error: NULL access dereferenced ./test2:error: error during elaboration gna issue697: failed try to analyze test.vhdl test.vhdl:22:25: cannot refer a declaration in an uninstantiated package signal a: work.a.t; ^ analyze test2.vhdl elaborate and simulate test2 ./test2:error: cannot open file "STD_INPUT" ./test2:error: error during elaboration gna issue2562: failed analyze repro1.vhdl elaborate and simulate test_entity ./test_entity:error: NULL access dereferenced in process .test_entity(rtl).P0 ./test_entity:error: error during elaboration gna issue1843: failed analyze counter.vhdl elaborate and simulate counter ./counter:error: cannot open file "STD_INPUT" ./counter:error: error during elaboration gna issue2564: failed analyze mwe.vhdl elaborate and simulate mwe_tb ./mwe_tb:error: cannot open file "STD_INPUT" ./mwe_tb:error: error during elaboration gna issue1844: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: cannot open file "STD_INPUT" ./repro1:error: error during elaboration gna issue710: failed analyze ent1.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).main ./ent:error: simulation failed gna issue2569: failed analyze pkg.vhdl ent.vhdl top.vhdl elaborate and simulate ent_use_constant ./ent_use_constant:error: cannot open file "STD_INPUT" ./ent_use_constant:error: error during elaboration gna issue1857: failed analyze subtype_test.vhdl tb.vhdl elaborate and simulate subtype_test_tb ./subtype_test_tb:error: cannot open file "STD_INPUT" ./subtype_test_tb:error: error during elaboration gna issue713: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced ./repro2:error: error during elaboration gna issue1862: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(beh).P0 ./repro:error: simulation failed gna issue257: failed analyze minimum_tb.vhdl elaborate and simulate minimum_tb minimum_tb.vhdl:11:5:@0ms:(report note): MIN(a,b): 4 minimum_tb.vhdl:14:5:@0ms:(report note): MIN(arr): 4 analyze minimum_tb2.vhdl elaborate and simulate minimum_tb2 minimum_tb2.vhdl:12:5:@0ms:(report note): MIN(a,b): 4 minimum_tb2.vhdl:15:5:@0ms:(report note): MIN(arr): 4 ./minimum_tb2:error: NULL access dereferenced in process .minimum_tb2(tb).P0 ./minimum_tb2:error: simulation failed gna issue715: failed analyze LRAM.vhdl LRAM.vhdl:34:26:warning: declaration of "fName" hides generic "fName" [-Whide] IMPURE FUNCTION Init (fName : STRING) RETURN LocalRAMDesc IS ^ elaborate and simulate LRAM --max-stack-alloc=0 ./lram:error: cannot open file "STD_INPUT" ./lram:error: error during elaboration gna issue2570: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue1867: failed analyze repro.vhdl elaborate and simulate two ./two:error: cannot open file "STD_INPUT" ./two:error: error during elaboration gna issue2571: failed analyze test1.vhdl elaborate and simulate test1 ./test1:error: cannot open file "STD_INPUT" ./test1:error: error during elaboration gna issue718: failed analyze bug_repro.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue1872: failed analyze match_operators.vhdl elaborate and simulate match_operators ./match_operators:error: cannot open file "STD_INPUT" ./match_operators:error: error during elaboration gna issue73: failed analyze x.vhdl elaborate and simulate test ./test:error: NULL access dereferenced in process .test(test).p ./test:error: simulation failed gna issue1881: failed analyze mcve.vhdl elaborate mcve simulate mcve --vcd=mcve.vcd --stop-time=1us ( mcve --vcd=mcve.vcd --stop-time=1us) ./mcve:error: NULL access dereferenced ./mcve:error: error during elaboration gna issue2579: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue731: failed analyze adder.vhdl tbadder.vhdl elaborate and simulate tbadder ./tbadder:error: cannot open file "STD_INPUT" ./tbadder:error: error during elaboration gna issue2580: failed analyze test_pkg.vhdl analyze test1.vhdl elaborate and simulate test1 ./test1:error: NULL access dereferenced ./test1:error: error during elaboration gna issue736: failed analyze simple_fsm.vhdl tb_simple_fsm.vhdl tb_simple_fsm.vhdl:27:12:warning: declaration of "clock_ena" hides signal "clock_ena" [-Whide] signal clock_ena : in boolean; ^ elaborate and simulate tb_simple_fsm ./tb_simple_fsm:error: cannot open file "STD_INPUT" ./tb_simple_fsm:error: error during elaboration gna issue737: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(impl).P0 ./ent:error: error during elaboration gna issue1898: failed analyze scale.vhdl elaborate and simulate scale analyze scale2.vhdl elaborate and simulate scale2 ./scale2:error: NULL access dereferenced ./scale2:error: error during elaboration gna issue2581: failed analyze aggr.vhdl elaborate and simulate aggregate_issue --assert-level=error ./aggregate_issue:error: cannot open file "STD_INPUT" ./aggregate_issue:error: error during elaboration gna issue190: failed analyze e.vhdl elaborate and simulate e ./e:error: cannot open file "STD_INPUT" ./e:error: error during elaboration gna issue756: failed analyze test_entity.vhdl elaborate and simulate test_entity ./test_entity:error: cannot open file "STD_INPUT" ./test_entity:error: error during elaboration gna issue259: failed analyze testcase_ce.vhdl elaborate and simulate testcase_ce ./testcase_ce:error: cannot open file "STD_INPUT" ./testcase_ce:error: error during elaboration gna issue776: failed analyze main.vhdl elaborate and simulate HA_Entity main.vhdl:21:3:warning: instance "HA_Inst" of component "HA_Comp" is not bound [-Wbinding] HA_Inst : HA_Comp ^ main.vhdl:11:14:warning: (in default configuration of HA_Entity(HA_Arch)) ./ha_entity:error: NULL access dereferenced ./ha_entity:error: error during elaboration gna issue2590: failed analyze test2.vhdl elaborate and simulate test2 ./test2:error: cannot open file "STD_INPUT" ./test2:error: error during elaboration gna issue780: failed analyze pkg.vhdl analyze ent.vhdl elaborate and simulate dut ./dut:error: cannot open file "STD_INPUT" ./dut:error: error during elaboration gna issue1914: failed analyze delayline1d.vhdl tb_delayline1d.vhdl elaborate and simulate tb_delayline1d ./tb_delayline1d:error: cannot open file "STD_INPUT" ./tb_delayline1d:error: error during elaboration gna issue2594: failed analyze test.vhdl elaborate and simulate selsigass_tb ./selsigass_tb:error: cannot open file "STD_INPUT" ./selsigass_tb:error: error during elaboration gna issue786: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).P0 ./ent:error: error during elaboration gna issue787: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).P0 ./ent:error: simulation failed gna issue26: failed analyze repro.vhdl missing line number gna issue788: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(behav).P0 ./repro:error: simulation failed gna issue1924: failed analyze fixed_pkg.vhdl float32_pkg.vhdl test_float_to_sfixed.vhdl elaborate and simulate test_float_to_sfixed ./test_float_to_sfixed:error: cannot open file "STD_INPUT" ./test_float_to_sfixed:error: error during elaboration gna issue795: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue262: failed analyze repro.vhdl elaborate and simulate repro --assert-level=error ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue2620: failed analyze casetb.vhdl elaborate and simulate case_questionmark_tb --assert-level=error ./case_questionmark_tb:error: NULL access dereferenced ./case_questionmark_tb:error: error during elaboration gna issue807: failed analyze repropoc.vhdl elaborate and simulate repropoc ./repropoc:error: NULL access dereferenced ./repropoc:error: error during elaboration gna issue818: failed analyze tc1.vhdl elaborate and simulate tc1 ./tc1:error: cannot open file "STD_INPUT" ./tc1:error: error during elaboration gna issue821: failed analyze ent.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue828: failed analyze test.vhdl test.vhdl:14:31:warning: declaration of "tx" hides port "tx" [-Whide] signal tx : out std_logic) is ^ elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue290: failed analyze TbNamesPkg.vhd TbNames.vhd elaborate and simulate TbNames ./tbnames:error: cannot open file "STD_INPUT" ./tbnames:error: error during elaboration gna issue852: failed analyze repro1.vhdl elaborate repro1 simulate repro1 --dump-rti ( repro1 --dump-rti) ./repro1:error: cannot open file "STD_INPUT" ./repro1:error: error during elaboration gna issue293: failed analyze repro.vhdl elaborate and simulate tb_test ./tb_test:error: cannot open file "STD_INPUT" ./tb_test:error: error during elaboration gna issue874: failed analyze crash.vhdl elaborate and simulate testbench ./testbench:error: cannot open file "STD_INPUT" ./testbench:error: error during elaboration gna issue877: failed analyze aa2.vhdl analyze tb.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue880: failed analyze psl.vhdl elaborate psl simulate psl --psl-report=psl.out ( psl --psl-report=psl.out) ./psl:error: NULL access dereferenced ./psl:error: error during elaboration gna issue885: failed analyze a.vhdl elaborate and simulate dut ./dut:error: cannot open file "STD_INPUT" ./dut:error: error during elaboration gna issue1980: failed analyze --work=osvvm NamePkg.vhd analyze --work=osvvm OsvvmGlobalPkg.vhd analyze --work=osvvm TextUtilPkg.vhd analyze --work=osvvm AlertLogPkg.vhd AlertLogPkg.vhd:1299:46:warning: declaration of "AlertCount" hides variable "AlertCount" [-Whide] procedure ReportAlerts ( Name : string ; AlertCount : AlertCountType ) is ^ AlertLogPkg.vhd:1334:7:warning: declaration of "AlertCount" hides variable "AlertCount" [-Whide] AlertCount : AlertCountType ; ^ AlertLogPkg.vhd:1531:7:warning: declaration of "AlertCount" hides variable "AlertCount" [-Whide] AlertCount : AlertCountType ; ^ analyze repro.vhdl elaborate and simulate repro ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue887: failed analyze test2.vhdl elaborate and simulate tb2 ./tb2:error: cannot open file "STD_INPUT" ./tb2:error: error during elaboration gna issue199: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(behav).P0 ./repro:error: simulation failed gna issue899: failed analyze testing.vhdl elaborate and simulate testing ./testing:error: cannot open file "STD_INPUT" ./testing:error: error during elaboration gna issue1994: failed analyze tb.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue912: failed analyze mwe_entity.vhdl mwe_tb.vhdl elaborate and simulate (failure expected) mwe_tb mwe_tb.vhdl:16:5:warning: instance "dut" of component "mwe_entity" is not bound [-Wbinding] dut:mwe_entity ^ mwe_tb.vhdl:7:14:warning: (in default configuration of mwe_tb(testbench)) mwe_tb.vhdl:24:13:@5ns:(assertion failure): Assertion violation ./mwe_tb:error: assertion failed in process .mwe_tb(testbench).P0 analyze mwe_entity.vhdl mwe_tb.vhdl elaborate and simulate mwe_tb ./mwe_tb:error: NULL access dereferenced in process .mwe_tb(testbench).P0 ./mwe_tb:error: error during elaboration gna issue2: failed analyze repro.vhdl analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced ./repro2:error: error during elaboration gna issue20: failed analyze fp_write_read_issue.vhdl elaborate and simulate fp_write_read_issue ./fp_write_read_issue:error: cannot open file "STD_INPUT" ./fp_write_read_issue:error: error during elaboration gna issue913: failed analyze apackage.vhdl repro.vhdl elaborate and simulate repro ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue916: failed analyze dut.vhdl elaborate and simulate dut ./dut:error: cannot open file "STD_INPUT" ./dut:error: error during elaboration gna issue202: failed analyze --work=osvvm ScoreboardGenericPkg.vhd try to analyze repro_err.vhdl repro_err.vhdl:38:53: missing ";" at end of alias declaration alias T_SCOREBOARD is P_Scoreboard.ScoreBoardPType ^ analyze repro.vhdl elaborate and simulate e ./e:error: cannot open file "STD_INPUT" ./e:error: error during elaboration gna issue917: failed analyze dut.vhdl elaborate and simulate dut ./dut:error: cannot open file "STD_INPUT" ./dut:error: error during elaboration gna issue918: failed analyze ent.vhdl elaborate and simulate board ./board:error: NULL access dereferenced ./board:error: error during elaboration gna issue2026: failed analyze repro1.vhdl Remove work library analyze test_tb.vhdl elaborate and simulate test_tb ./test_tb:error: NULL access dereferenced ./test_tb:error: error during elaboration gna issue922: failed analyze dut.vhdl elaborate and simulate dut ./dut:error: cannot open file "STD_INPUT" ./dut:error: error during elaboration gna issue961: failed analyze tb.vhdl elaborate and simulate tb ./tb:error: cannot open file "STD_INPUT" ./tb:error: error during elaboration gna issue2051: failed analyze repro.vhdl analyze repro3.vhdl elaborate and simulate repro3 ./repro3:error: NULL access dereferenced in process .repro3(arch).P0 ./repro3:error: simulation failed gna issue98: failed analyze test_load.vhdl elaborate test_load /usr/bin/gcc-13 /usr/bin/gcc-13 -c vpi1.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC vpi1.c: In function ‘my_handle_register’: vpi1.c:26:13: warning: assignment to ‘PLI_INT32 (*)(struct t_cb_data *)’ {aka ‘int (*)(struct t_cb_data *)’} from incompatible pointer type ‘void (*)(void)’ [-Wincompatible-pointer-types] 26 | cb.cb_rtn = &vpi_proc; | ^ /usr/bin/gcc-13 -o vpi1.vpi vpi1.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate test_load --vpi=./vpi1.vpi ( test_load --vpi=./vpi1.vpi) loading VPI module './vpi1.vpi' VPI module loaded! ./test_load:error: NULL access dereferenced ./test_load:error: error during elaboration gna issue2055: failed analyze tb.vhdl elaborate and simulate tb ./tb:error: cannot open file "STD_INPUT" ./tb:error: error during elaboration gna issue2065: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue983: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue984: failed analyze types_pkg.vhd analyze const_pkg.vhd analyze tester.vhd analyze generic_check.vhd analyze tester_conf.vhd elaborate and simulate tester_conf ./tester_conf:error: NULL access dereferenced ./tester_conf:error: error during elaboration gna lsp27: failed analyze -Wunused -Werror mwe.vhdl elaborate and simulate mwe ./mwe:error: NULL access dereferenced ./mwe:error: error during elaboration gna issue2071: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue2091: failed analyze log.vhdl test.vhdl log.vhdl:18:20:warning: declaration of a protected object before the protected body [-Welaboration] shared variable logger : t_logger; ^ log.vhdl:48:17:warning: declaration of "log" hides package "log" [-Whide] procedure log(lvl : t_level; msg : string) is ^ elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue2097: failed analyze my_fixed_pkg.vhdl analyze tb_fixed1.vhdl elaborate and simulate tb_fixed1 ./tb_fixed1:error: cannot open file "STD_INPUT" ./tb_fixed1:error: error during elaboration gna issue2098: failed try to analyze -Werror=elaboration test-orig.vhdl test-orig.vhdl:27:20: declaration of a protected object before the protected body shared variable logger : t_logger; ^ test-orig.vhdl:48:14: package "log" was not analysed package body log is ^ test-orig.vhdl:126:13: unit "log" not found in library "work" use work.log; ^ test-orig.vhdl:131:20: entity "test" was not analysed architecture tb of test is ^ analyze -Werror=elaboration test2.vhdl elaborate and simulate test2 ./test2:error: cannot open file "STD_INPUT" ./test2:error: error during elaboration gna issue2112: failed analyze abc.vhdl analyze abc_tb.vhdl elaborate and simulate abc_tb ./abc_tb:error: cannot open file "STD_INPUT" ./abc_tb:error: error during elaboration gna issue2115: failed analyze ent.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna bug040: failed analyze add_200.vhd add_201.vhd add_202.vhd add_203.vhd add_204.vhd bit_set_mask.vhd cmp_775.vhd cmp_779.vhd cmp_780.vhd cmp_787.vhd cmp_788.vhd cmp_790.vhd cmp_791.vhd cmp_792.vhd cmp_793.vhd cmp_794.vhd cmp_799.vhd cmp_800.vhd cmp_804.vhd cmp_846.vhd cmp_847.vhd cmp_848.vhd cmp_849.vhd cmp_850.vhd cmp_851.vhd cmp_852.vhd cmp_855.vhd cmp_861.vhd cmp_863.vhd cmp_865.vhd cmp_868.vhd cmp_869.vhd cmp_871.vhd cmp_873.vhd cmp_877.vhd cmp_878.vhd cmp_879.vhd cmp_880.vhd cmp_882.vhd cmp_885.vhd cmp_887.vhd cmp_962.vhd cmp_964.vhd cmp_971.vhd cmp_972.vhd cmp_973.vhd cmp_974.vhd cmp_975.vhd cmp_977.vhd cmp_978.vhd cmp_979.vhd cmp_985.vhd extend_mask.vhd fsm_224.vhd huff_make_dhuff_tb_ac_huffcode.vhd huff_make_dhuff_tb_ac_huffsize.vhd huff_make_dhuff_tb_dc_huffcode.vhd huff_make_dhuff_tb_dc_huffsize.vhd huffbuff.vhd idctbuff.vhd izigzag_index.vhd jpegfilebuf.vhd lmask.vhd mul_209.vhd mul_210.vhd mul_213.vhd mul_214.vhd mul_215.vhd mul_216.vhd mul_222.vhd muxb_784.vhd outdata_comp_buf.vhd outdata_comp_hpos.vhd outdata_comp_vpos.vhd p_jinfo_ac_dhuff_tbl_maxcode.vhd p_jinfo_ac_dhuff_tbl_mincode.vhd p_jinfo_ac_dhuff_tbl_ml.vhd p_jinfo_ac_dhuff_tbl_valptr.vhd p_jinfo_ac_xhuff_tbl_bits.vhd p_jinfo_ac_xhuff_tbl_huffval.vhd p_jinfo_comps_info_dc_tbl_no.vhd p_jinfo_comps_info_h_samp_factor.vhd p_jinfo_comps_info_id.vhd p_jinfo_comps_info_quant_tbl_no.vhd p_jinfo_dc_dhuff_tbl_maxcode.vhd p_jinfo_dc_dhuff_tbl_mincode.vhd p_jinfo_dc_dhuff_tbl_ml.vhd p_jinfo_dc_dhuff_tbl_valptr.vhd p_jinfo_dc_xhuff_tbl_bits.vhd p_jinfo_dc_xhuff_tbl_huffval.vhd p_jinfo_quant_tbl_quantval.vhd quantbuff.vhd rgb_buf.vhd shl_211.vhd shr_212.vhd sub_205.vhd sub_206.vhd sub_207.vhd sub_208.vhd sub_217.vhd sub_218.vhd sub_219.vhd sub_220.vhd sub_221.vhd tb.vhd top.vhd zigzag_index.vhd elaborate and simulate tb --stop-time=4us ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna bug042: failed try to analyze centerconfig.vhdl centerconfig.vhdl:58:45: range expression must be locally static center_height(std_center_height'range) => std_center_height, ^ centerconfig.vhdl:62:43: range expression must be locally static center_width(std_center_width'range) => std_center_width ^ analyze centerconfig_works.vhdl elaborate and simulate instance ./instance:error: NULL access dereferenced ./instance:error: error during elaboration gna issue2118: failed analyze top.vhdl elaborate and simulate top ./top:error: cannot open file "STD_INPUT" ./top:error: error during elaboration gna issue2136: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced in process .repro1(bhv).P0 ./repro1:error: simulation failed gna bug045: failed analyze repro.vhdl elaborate tb simulate tb --fst=tb.fst ( tb --fst=tb.fst) ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue2138: failed analyze variable_assignment_with_when.vhdl elaborate and simulate variable_assignment_with_when ./variable_assignment_with_when:error: cannot open file "STD_INPUT" ./variable_assignment_with_when:error: error during elaboration gna issue2141: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna bug048: failed analyze leftof1.vhdl elaborate and simulate leftofrightof leftof1.vhdl:11:9:@0ms:(report note): constant i = 1 leftof1.vhdl:12:9:@0ms:(report note): integer'leftof(i) = 0 leftof1.vhdl:18:9:@0ms:(report note): constant j = 1 leftof1.vhdl:19:9:@0ms:(report note): integer'rightof(j) = 2 analyze leftof2.vhdl leftof2.vhdl:1:1:warning: entity "leftofrightof" was also defined in file "leftof1.vhdl" [-Wlibrary] entity leftofrightof is ^ elaborate and simulate leftofrightof ./leftofrightof:error: NULL access dereferenced in process .leftofrightof(subclass_variable).P0 ./leftofrightof:error: simulation failed gna issue2147: failed try to analyze e.vhdl e.vhdl:12:27: 'range attribute not allowed in an expression constant c : bit := f'range; ^ analyze e2.vhdl elaborate and simulate e2 ./e2:error: NULL access dereferenced ./e2:error: error during elaboration gna bug049: failed analyze rng1.vhdl elaborate and simulate sliding_index ./sliding_index:error: cannot open file "STD_INPUT" ./sliding_index:error: error during elaboration gna issue30: failed analyze definitions.vhdl analyze alu.vhdl analyze basicblocks.vhdl analyze tb-alu.vhdl elaborate and simulate tb_alu --stop-time=50ns ./tb_alu:error: NULL access dereferenced ./tb_alu:error: error during elaboration gna bug05: failed analyze repro.vhdl elaborate tb simulate tb --fst=tb.fst ( tb --fst=tb.fst) ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna issue300: failed analyze test_bench.vhdl elaborate and simulate test_bench --stop-time=10ns ./test_bench:error: NULL access dereferenced ./test_bench:error: error during elaboration gna issue2152: failed analyze e.vhdl analyze e1.vhdl elaborate and simulate e1 ./e1:error: NULL access dereferenced in process .e1(a).P0 ./e1:error: error during elaboration gna issue301: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue2153: failed analyze issue.vhdl elaborate and simulate issue ./issue:error: cannot open file "STD_INPUT" ./issue:error: error during elaboration gna issue310: failed analyze b.vhdl analyze bb.vhdl analyze bbb.vhdl elaborate and simulate bbb ./bbb:error: NULL access dereferenced in process .bbb(a).P0 ./bbb:error: error during elaboration gna issue2155: failed analyze closely_related_arrays.vhdl analyze e.vhdl elaborate and simulate e ./e:error: cannot open file "STD_INPUT" ./e:error: error during elaboration gna issue317: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: cannot open file "STD_INPUT" ./repro1:error: error during elaboration gna issue2157: failed analyze issue.vhdl elaborate and simulate issue ./issue:error: cannot open file "STD_INPUT" ./issue:error: error during elaboration gna issue320: failed analyze fuu.vhdl fuu.vhdl:12:58:warning: prefix of array attribute must be an object name [-Wattribute] report "expression'right = " & integer'image(fie'RIGHT); ^ elaborate and simulate fuu ./fuu:error: NULL access dereferenced in process .fuu(fum).P0 ./fuu:error: simulation failed gna issue321: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(behav).P0 ./repro:error: simulation failed gna issue328: failed analyze repro.vhdl repro.vhdl:13:27:warning: declaration of "s" hides signal "s" [-Whide] procedure write (signal s : inout std_logic) is ^ elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue332: failed analyze ilos_sim_pkg.vhd analyze irqc_pif_pkg.vhd analyze irqc_pif.vhd analyze irqc_tb.vhd elaborate tb_irqc simulate tb_irqc --wave=sim.ghw ( tb_irqc --wave=sim.ghw) ./tb_irqc:error: cannot open file "STD_INPUT" ./tb_irqc:error: error during elaboration gna issue2166: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced in process .repro1(behav).P0 ./repro1:error: simulation failed gna issue2174: failed try to analyze test.vhdl test.vhdl:12:9: file type element not allowed in a composite type fid: lol; ^ test.vhdl:17:14: package "sim_ram_pkg" was not analysed package body sim_ram_pkg is ^ analyze t1.vhdl elaborate and simulate t1 ./t1:error: cannot open file "STD_INPUT" ./t1:error: error during elaboration gna issue339: failed analyze test_pkg.vhdl test_bench.vhdl elaborate test_bench simulate test_bench --stop-time=700ns --wave=w.ghw ( test_bench --stop-time=700ns --wave=w.ghw) ./test_bench:error: cannot open file "STD_INPUT" ./test_bench:error: error during elaboration gna issue2175: failed analyze pkg.vhdl analyze tb2.vhdl elaborate and simulate tb2 ./tb2:error: cannot open file "STD_INPUT" ./tb2:error: error during elaboration gna bug06: failed analyze repro.vhdl elaborate and simulate foo ./foo:error: NULL access dereferenced ./foo:error: error during elaboration gna issue369: failed analyze e.vhdl elaborate and simulate e ./e:error: NULL access dereferenced in process .e(a).P0 ./e:error: simulation failed gna bug060: failed analyze corelib_List.v08.vhdl corelib_List.v08.vhdl:110:39:warning: declaration of "Size" hides function "Size" [-Whide] procedure CheckResize(Size : positive) is ^ corelib_List.v08.vhdl:126:37:warning: declaration of "j" hides variable "j" [-Whide] for j in 0 to I_MasterList_Count - 1 loop ^ corelib_List.v08.vhdl:134:29:warning: declaration of "j" hides variable "j" [-Whide] for j in I_MasterList_Count to I_MasterList_Count + New_Chunks - 1 loop ^ corelib_List.v08.vhdl:337:93:warning: declaration of "COUNT" hides function "Count" [-Whide] impure function ToArray(Start : INTEGER := 0; Stop : INTEGER := -1; COUNT : NATURAL := 0) return ELEMENT_ARRAY is ^ analyze corelib.v08.vhdl analyze Integer_List_tb.vhdl elaborate and simulate integer_list_tb ./integer_list_tb:error: cannot open file "STD_INPUT" ./integer_list_tb:error: error during elaboration gna issue371: failed analyze test_string.vhdl elaborate test_string simulate test_string --wave=sim.ghw --stop-time=20ns ( test_string --wave=sim.ghw --stop-time=20ns) ./test_string:error: NULL access dereferenced ./test_string:error: error during elaboration gna bug061: failed analyze dictp.vhdl analyze dictp08.vhdl dictp08.vhdl:1:1:warning: package "corelib_dict" was also defined in file "dictp.vhdl" [-Wlibrary] library ieee; ^ analyze -g datastructure.vhdl analyze -g test_dict.vhdl elaborate and simulate test_dict ./test_dict:error: cannot open file "STD_INPUT" ./test_dict:error: error during elaboration gna issue375: failed analyze cond_assign_proc.vhdl elaborate and simulate cond_assign_proc ./cond_assign_proc:error: cannot open file "STD_INPUT" ./cond_assign_proc:error: error during elaboration gna issue376: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced in process .repro(behav).P0 ./repro:error: simulation failed gna issue2189: failed analyze bug02.vhdl elaborate and simulate bug02 ./bug02:error: cannot open file "STD_INPUT" ./bug02:error: error during elaboration gna issue2190: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue382: failed analyze demo.vhd analyze tb_demo.vhd elaborate and simulate tb_demo ./tb_demo:error: NULL access dereferenced ./tb_demo:error: error during elaboration gna issue2193: failed analyze demo2.vhdl elaborate and simulate demo2 ./demo2:error: cannot open file "STD_INPUT" ./demo2:error: error during elaboration gna bug065: failed analyze repro.vhdl elaborate and simulate e ./e:error: cannot open file "STD_INPUT" ./e:error: error during elaboration gna bug07: failed analyze repro.vhdl elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue394: failed analyze bug.vhdl gna issue397: failed analyze e.vhdl elaborate and simulate bug ./bug:error: NULL access dereferenced in process .bug(a).main ./bug:error: simulation failed gna issue2212: failed analyze minimal.vhdl elaborate and simulate minimal ./minimal:error: cannot open file "STD_INPUT" ./minimal:error: error during elaboration gna bug073: failed analyze adder.vhdl analyze adder_tb.vhdl elaborate and simulate adder_tb ./adder_tb:error: NULL access dereferenced in process .adder_tb(behav).P0 ./adder_tb:error: error during elaboration gna issue400: failed analyze e.vhdl elaborate and simulate e e.vhdl:15:3:warning: instance "i1" of component "bb1" is not bound [-Wbinding] i1: component bb1 port map( v.f(s) => s1 ); ^ e.vhdl:2:14:warning: (in default configuration of e(a)) e.vhdl:16:3:warning: instance "i2" of component "bb2" is not bound [-Wbinding] i2: component bb2 port map( s => v.f(s2) ); ^ e.vhdl:2:14:warning: (in default configuration of e(a)) ./e:error: NULL access dereferenced ./e:error: error during elaboration gna issue2215: failed analyze e.vhdl gna bug074: failed analyze repro.vhdl elaborate and simulate repro --stop-time=1us ./repro:error: NULL access dereferenced in process .repro(behav).P0 ./repro:error: simulation failed gna issue406: failed analyze --work=libvhdl queuep.vhdl analyze queuet.vhdl elaborate and simulate queuet ./queuet:error: cannot open file "STD_INPUT" ./queuet:error: error during elaboration gna bug077: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced ./repro2:error: error during elaboration gna bug078: failed analyze half_adder.vhdl elaborate and simulate different ./different:error: NULL access dereferenced ./different:error: error during elaboration gna bug079: failed analyze repro1.vhdl repro1.vhdl:10:21:warning: declaration of "var" hides variable "var" [-Whide] procedure proc (var : natural) is ^ elaborate and simulate repro1 ./repro1:error: NULL access dereferenced in process .repro1(behav).P0 ./repro1:error: simulation failed gna issue2216: failed analyze pkg.vhdl ent.vhdl elaborate and simulate ent *** stack smashing detected ***: terminated gna issue418: failed analyze repro.vhdl elaborate repro simulate repro --wave=repro.ghw ( repro --wave=repro.ghw) ./repro:error: cannot open file "STD_INPUT" ./repro:error: error during elaboration gna issue2218: failed try to analyze test2.vhdl test2.vhdl:9:55: no declaration for "t_unsigned_vector" type t_range_uns_vec is array (natural range <>) of t_unsigned_vector; ^ analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue424: failed analyze subBlock.vhd analyze testCaseGood.vhd elaborate and simulate testcasegood ./testcasegood:error: NULL access dereferenced ./testcasegood:error: error during elaboration gna issue2223: failed analyze repro6.vhdl elaborate and simulate MemPkgReproducer6 ./mempkgreproducer6:error: NULL access dereferenced in process .mempkgreproducer6(behav).P0 ./mempkgreproducer6:error: simulation failed gna bug08: failed analyze paren1.vhdl elaborate and simulate paren1 analyze paren2.vhdl elaborate and simulate paren2 analyze paren3.vhdl elaborate and simulate paren3 analyze paren4.vhdl elaborate and simulate paren4 analyze paren5.vhdl elaborate and simulate paren5 ./paren5:error: NULL access dereferenced in process .paren5(behav).P0 ./paren5:error: simulation failed gna issue43: failed analyze repro2.vhdl elaborate and simulate test_tb ./test_tb:error: NULL access dereferenced ./test_tb:error: error during elaboration gna issue44: failed analyze loopfilter.vhdl analyze dffregister.vhdl elaborate and simulate loopfilter loopfilter.vhdl:29:3:warning: instance "M0" of component "q_one_dot_fp_multiplier" is not bound [-Wbinding] M0: q_one_dot_fp_multiplier generic map(a_word_size => beta'length, b_word_size => mult_error_op'length) ^ loopfilter.vhdl:13:14:warning: (in default configuration of loopfilter(loopfilter_arch)) loopfilter.vhdl:31:3:warning: instance "M1" of component "q_one_dot_fp_multiplier" is not bound [-Wbinding] M1: q_one_dot_fp_multiplier generic map(a_word_size => alpha'length, b_word_size => mult_error_op'length) ^ loopfilter.vhdl:13:14:warning: (in default configuration of loopfilter(loopfilter_arch)) ./loopfilter:error: NULL access dereferenced ./loopfilter:error: error during elaboration gna bug083: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced in process .repro2(behav).P0 ./repro2:error: error during elaboration gna bug084: failed analyze func_test3.vhdl elaborate and simulate func_test3 ./func_test3:error: NULL access dereferenced in process .func_test3(fum).P0 ./func_test3:error: simulation failed gna bug086: failed analyze sig.vhdl elaborate and simulate sig ./sig:error: NULL access dereferenced in process .sig(behav).b.P0 ./sig:error: simulation failed gna bug09: failed analyze univ1.vhdl elaborate and simulate (failure expected) univ1 ./univ1:error: NULL access dereferenced in process .univ1(foo).P0 analyze univ2.vhdl elaborate and simulate univ2 ./univ2:error: NULL access dereferenced in process .univ2(foo).P0 ./univ2:error: simulation failed gna issue45: failed analyze test1.vhdl elaborate psl_test_endpoint analyze test2.vhdl elaborate and simulate psl_test2_endpoint --assert-level=error --expect-failure ./psl_test2_endpoint:error: cannot open file "STD_INPUT" ./psl_test2_endpoint:error: error during elaboration try to analyze endpoint_eval_err.vhdl endpoint_eval_err.vhdl:56:14: can't match "E_TEST0" with type physical type "time" wait for E_TEST0; ^ analyze endpoint_eval.vhdl elaborate and simulate psl_endpoint_eval_in_vhdl ./psl_endpoint_eval_in_vhdl:error: cannot open file "STD_INPUT" ./psl_endpoint_eval_in_vhdl:error: error during elaboration gna issue450: failed analyze disptree.vhdl elaborate disptree /usr/bin/gcc-13 /usr/bin/gcc-13 -c vpi2.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC vpi2.c: In function ‘my_handle_register’: vpi2.c:89:13: warning: assignment to ‘PLI_INT32 (*)(struct t_cb_data *)’ {aka ‘int (*)(struct t_cb_data *)’} from incompatible pointer type ‘void (*)()’ [-Wincompatible-pointer-types] 89 | cb.cb_rtn = &printModules; | ^ /usr/bin/gcc-13 -o vpi2.vpi vpi2.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate disptree --vpi=./vpi2.vpi ( disptree --vpi=./vpi2.vpi) loading VPI module './vpi2.vpi' VPI module loaded! ./disptree:error: NULL access dereferenced ./disptree:error: error during elaboration 1,18c1,2 < got to here < got to here1 < Module disptree: < Full module name (vpiFullName): disptree < Simple module name (vpiName): disptree < net clk < net a < net b < Full module name (vpiFullName): disptree.gen_for(1) < Simple module name (vpiName): gen_for(1) < Full module name (vpiFullName): disptree.gen_for(2) < Simple module name (vpiName): gen_for(2) < Full module name (vpiFullName): disptree.gen_for(3) < Simple module name (vpiName): gen_for(3) < Full module name (vpiFullName): disptree.gen_for(4) < Simple module name (vpiName): gen_for(4) < Full module name (vpiFullName): disptree.gen_if < Simple module name (vpiName): gen_if --- > ./disptree:error: NULL access dereferenced > ./disptree:error: error during elaboration gna issue451: failed analyze e.vhdl elaborate and simulate e ./e:error: NULL access dereferenced ./e:error: error during elaboration gna bug094: failed analyze pkga.vhdl analyze enta.vhdl analyze topa.vhdl elaborate and simulate topa ./topa:error: NULL access dereferenced ./topa:error: error during elaboration gna bug097: failed /usr/bin/gcc-13 analyze tb.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna bug15638: failed analyze 15368.vhd elaborate and simulate bug ./bug:error: NULL access dereferenced ./bug:error: error during elaboration gna bug15966: failed analyze fail.vhd elaborate and simulate mat_key_tb ./mat_key_tb:error: NULL access dereferenced ./mat_key_tb:error: error during elaboration gna issue461: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna bug15993: failed analyze cells.vhdl analyze testbench_15993.vhdl testbench_15993.vhdl:31:18:warning: declaration of "s" hides signal "s" [-Whide] variable s : hexstring := (others => ' '); ^ elaborate and simulate add_tb ./add_tb:error: NULL access dereferenced ./add_tb:error: error during elaboration gna bug16287: failed analyze 16287.vhd elaborate and simulate test ./test:error: NULL access dereferenced ./test:error: error during elaboration gna bug16695: failed analyze --ieee=synopsys lfsr_updown.vhd lfsr_updown_tb.vhd elaborate and simulate --ieee=synopsys lfsr_updown_tb --stop-time=200ns ./lfsr_updown_tb:error: cannot open file "STD_INPUT" ./lfsr_updown_tb:error: error during elaboration gna bug17127: failed analyze bug2.vhdl elaborate and simulate bug2 ./bug2:error: NULL access dereferenced ./bug2:error: error during elaboration gna bug17203: failed analyze bug.vhdl elaborate and simulate test_time ./test_time:error: cannot open file "STD_INPUT" ./test_time:error: error during elaboration gna bug17309: failed analyze polyamplib.vhdl master_testbench3.vhdl elaborate and simulate master_testbench3 --stop-time=1ms ./master_testbench3:error: NULL access dereferenced ./master_testbench3:error: error during elaboration gna bug17545: failed analyze bug.vhdl elaborate and simulate bug ./bug:error: cannot open file "STD_INPUT" ./bug:error: error during elaboration gna bug17759: failed analyze test.vhdl elaborate and simulate a ./a:error: NULL access dereferenced ./a:error: error during elaboration gna bug18280: failed analyze alias_bug.vhd elaborate and simulate alias_bug ./alias_bug:error: NULL access dereferenced ./alias_bug:error: error during elaboration gna bug18351: failed analyze 18351.vhd elaborate and simulate problem ./problem:error: NULL access dereferenced in process .problem(bug).eval ./problem:error: simulation failed gna bug18359: failed analyze testcase.vhdl elaborate and simulate testcase testcase.vhdl:17:7:@0ms:(report note): Count: 1 testcase.vhdl:17:7:@0ms:(report note): Count: 1 testcase.vhdl:17:7:@0ms:(report note): Count: 1 testcase.vhdl:17:7:@0ms:(report note): Count: 1 ./testcase:error: NULL access dereferenced in process .testcase(non_conformity).stuff ./testcase:error: simulation failed gna bug18810: failed analyze DMEM.vhd OISC_SUBLEQ.vhd BENCH_OISC_SUBLEQ.vhd elaborate and simulate BENCH_OISC_SUBLEQ --stop-time=2us ./bench_oisc_subleq:error: NULL access dereferenced ./bench_oisc_subleq:error: error during elaboration gna bug20312: failed analyze repro.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna bug20549: failed analyze tb.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna bug21487: failed analyze repro.vhdl elaborate and simulate top ./top:error: cannot open file "STD_INPUT" ./top:error: error during elaboration gna bug21497: failed analyze repro.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced in process .tb(behav).P0 ./tb:error: error during elaboration gna bug21500: failed analyze resolv1.vhdl elaborate and simulate top ./top:error: NULL access dereferenced ./top:error: error during elaboration gna bug23165: failed analyze mwe_failing/counter.vhd analyze mwe_failing/mwe.vhd elaborate and simulate mwe --stop-time=100us ./mwe:error: NULL access dereferenced ./mwe:error: error during elaboration gna bug24064: failed analyze er_pack.vhd er_pack.vhd:344:19:warning: reference to file "output" violate pure rule for function "print_message" [-Wpure] writeline(output, out_line); ^ analyze pp_fir_filter.vhd elaborate and simulate pp_fir_filter ./pp_fir_filter:error: NULL access dereferenced ./pp_fir_filter:error: error during elaboration gna bug7751: failed analyze 7751_tests.vhd 7751_tests.vhd:58:19:warning: declaration of "t" hides signal "t" [-Whide] function t_img (t : time) return string is ^ elaborate and simulate top ./top:error: NULL access dereferenced ./top:error: error during elaboration gna issue10: failed analyze --std=93 test_id.vhdl elaborate and simulate --std=93 test_id ./test_id:error: NULL access dereferenced ./test_id:error: error during elaboration gna issue1038: failed analyze repro.vhdl elaborate and simulate repro analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced in process .repro2(behav).P0 ./repro2:error: error during elaboration gna issue1055: failed analyze repro1.vhdl elaborate and simulate repro1 ./repro1:error: NULL access dereferenced ./repro1:error: error during elaboration gna issue106: failed try to analyze ent.vhdl ent.vhdl:11:14: condition must be a static expression LL: if test=10 generate ^ ent.vhdl:12:13: condition must be a static expression elsif test=5 generate ^ analyze ent1.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna issue1063: failed analyze matching.vhdl elaborate and simulate matching ./matching:error: cannot open file "STD_INPUT" ./matching:error: error during elaboration gna issue1067: failed analyze top.vhdl elaborate top simulate top --dump-rti ( top --dump-rti) ./top:error: cannot open file "STD_INPUT" ./top:error: error during elaboration gna issue1120: failed analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced ./repro2:error: error during elaboration gna issue1123: failed try to analyze b.vhdl b.vhdl:30:14: interface of function must be a constant interface x => to_vector(s) ^ analyze b1.vhdl elaborate and simulate b ./b:error: NULL access dereferenced ./b:error: error during elaboration gna issue1125: failed analyze etest.vhdl gna issue1128: failed analyze test.vhdl elaborate and simulate test ./test:error: cannot open file "STD_INPUT" ./test:error: error during elaboration gna issue1129: failed analyze repro.vhdl elaborate and simulate test ./test:error: NULL access dereferenced ./test:error: error during elaboration gna issue1131: failed analyze dut.vhdl elaborate dut simulate dut --dump-rti ( dut --dump-rti) ./dut:error: cannot open file "STD_INPUT" ./dut:error: error during elaboration gna issue1137: failed analyze testbench.vhdl elaborate and simulate testbench ./testbench:error: cannot open file "STD_INPUT" ./testbench:error: error during elaboration gna issue1138: failed try to analyze repro.vhdl repro.vhdl:14:41: prefix of array attribute must be an object name constant l : natural := get_bv (5).bv'length; ^ repro.vhdl:14:41:note: (you can use -frelaxed to turn this error into a warning) constant l : natural := get_bv (5).bv'length; ^ analyze crc_pkg.vhdl try to analyze wbcrc_syn.vhdl wbcrc_syn.vhdl:37:91: prefix of array attribute must be an object name tx_os_dat_o : out std_ulogic_vector( getCrc32Param( crcDefault_G , 8 ).poly'length - 1 downto 0 ); ^ wbcrc_syn.vhdl:37:91:note: (you can use -frelaxed to turn this error into a warning) tx_os_dat_o : out std_ulogic_vector( getCrc32Param( crcDefault_G , 8 ).poly'length - 1 downto 0 ); ^ wbcrc_syn.vhdl:44:21: entity "wbCrc_syn" was not analysed architecture rtl of wbCrc_syn is ^ analyze repro.vhdl repro.vhdl:14:41:warning: prefix of array attribute must be an object name [-Wattribute] constant l : natural := get_bv (5).bv'length; ^ elaborate and simulate repro ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue1145: failed analyze tb.vhdl elaborate and simulate tb_ghdl_test ./tb_ghdl_test:error: cannot open file "STD_INPUT" ./tb_ghdl_test:error: error during elaboration gna issue1191: failed analyze mux_fifo_pkg.vhd mux_fifo.vhd mux_fifo_tb.vhd elaborate and simulate mux_fifo_tb ./mux_fifo_tb:error: cannot open file "STD_INPUT" ./mux_fifo_tb:error: error during elaboration gna issue1201: failed analyze bug.vhdl elaborate and simulate bug ./bug:error: cannot open file "STD_INPUT" ./bug:error: error during elaboration gna issue1224: failed analyze tb.vhdl elaborate and simulate tb ./tb:error: cannot open file "STD_INPUT" ./tb:error: error during elaboration gna issue1226: failed analyze adder.vhdl elaborate adder /usr/bin/gcc-13 /usr/bin/gcc-13 -c vpi_plugin.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC /usr/bin/gcc-13 -o vpi_plugin.vpi vpi_plugin.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate adder --vpi=./vpi_plugin.vpi ( adder --vpi=./vpi_plugin.vpi) loading VPI module './vpi_plugin.vpi' VPI module loaded! ./adder:error: NULL access dereferenced ./adder:error: error during elaboration gna issue1228: failed analyze test_load.vhdl elaborate test_load /usr/bin/gcc-13 /usr/bin/gcc-13 -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -c vpi1.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC /usr/bin/gcc-13 -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -o vpi1.vpi vpi1.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate test_load --vpi=./vpi1.vpi ( test_load --vpi=./vpi1.vpi) loading VPI module './vpi1.vpi' VPI module loaded! ./test_load:error: NULL access dereferenced in process .test_load(rtl).P1 ./test_load:error: error during elaboration gna issue123: failed analyze adder.vhdl adder.vhdl:27:13:warning: declaration of "ADDER" hides entity "ADDER" [-Whide] component ADDER is ^ elaborate and simulate csac ./csac:error: NULL access dereferenced ./csac:error: error during elaboration gna issue1233: failed analyze adder.vhdl elaborate adder /usr/bin/gcc-13 /usr/bin/gcc-13 -c vpi_plugin.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC /usr/bin/gcc-13 -o vpi_plugin.vpi vpi_plugin.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate adder --vpi=./vpi_plugin.vpi ( adder --vpi=./vpi_plugin.vpi) loading VPI module './vpi_plugin.vpi' VPI module loaded! ./adder:error: NULL access dereferenced ./adder:error: error during elaboration gna issue1246: failed analyze pkg_b.vhdl pkg_a.vhdl tb.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced in process .tb(arch).P0 ./tb:error: simulation failed gna issue125: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).P0 ./ent:error: simulation failed gna issue1256: failed analyze enum_test.vhdl elaborate enum_test /usr/bin/gcc-13 /usr/bin/gcc-13 -c vpi_plugin.c -I/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl -fPIC /usr/bin/gcc-13 -o vpi_plugin.vpi vpi_plugin.o --shared -L/<>/testrundir/llvm/usr/lib/ghdl/llvm -lghdlvpi -Wl,-rpath,/<>/testrundir/llvm/usr/lib/ghdl/llvm simulate enum_test --vpi=./vpi_plugin.vpi ( enum_test --vpi=./vpi_plugin.vpi) loading VPI module './vpi_plugin.vpi' VPI module loaded! gna issue1257: failed try to analyze -Werror=runtime-error repro1.vhdl repro1.vhdl:11:13: value constraints don't match target ones left <= right; ^ analyze repro2.vhdl elaborate and simulate (failure expected) repro2 ./repro2:error: NULL access dereferenced in process .repro2(behav).P0 ./repro2:error: error during elaboration gna issue1262: failed analyze pkg_slv.vhdl analyze ent.vhdl analyze tb2.vhdl elaborate and simulate tb2 ./tb2:error: cannot open file "STD_INPUT" ./tb2:error: error during elaboration gna issue1268: failed analyze mwe_pkg.vhd ent.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced ./ent:error: error during elaboration gna issue1292: failed analyze psl_next_event_e.vhdl elaborate and simulate psl_next_event_e ./psl_next_event_e:error: cannot open file "STD_INPUT" ./psl_next_event_e:error: error during elaboration gna issue1295: failed analyze psl_next_event_a.vhdl elaborate and simulate psl_next_event_a ./psl_next_event_a:error: cannot open file "STD_INPUT" ./psl_next_event_a:error: error during elaboration gna issue1300: failed analyze wishbone_types.vhdl icache.vhdl elaborate and simulate icache ./icache:error: NULL access dereferenced ./icache:error: error during elaboration gna issue1323: failed analyze mydesign.vhdl mydesign.vhdl:146:7:warning: declaration of "instance_three" hides component instance "instance_three" [-Whide] instance_three : entity work.mysubentity ^ elaborate myentity elaborate and simulate myentity --wave=dump.ghw ./myentity:error: NULL access dereferenced ./myentity:error: error during elaboration cannot open ghw file dump.ghw gna issue1338: failed analyze repro.vhdl elaborate and simulate repro --backtrace-severity=warning ./repro:error: NULL access dereferenced ./repro:error: error during elaboration gna issue1347: failed analyze issue.vhdl elaborate and simulate test_issue ./test_issue:error: cannot open file "STD_INPUT" ./test_issue:error: error during elaboration gna issue1354: failed analyze mwe.vhdl elaborate and simulate mwe ./mwe:error: cannot open file "STD_INPUT" ./mwe:error: error during elaboration gna issue1360: failed analyze onehot_aggregate.vhdl elaborate and simulate tb ./tb:error: cannot open file "STD_INPUT" ./tb:error: error during elaboration gna issue1361: failed analyze repro.vhdl elaborate and simulate repro analyze repro2.vhdl elaborate and simulate repro2 ./repro2:error: NULL access dereferenced in process .repro2(behav).P0 ./repro2:error: error during elaboration gna perf02-long: failed analyze add_142.vhd add_153.vhd add_154.vhd add_155.vhd add_159.vhd cmp_662.vhd cmp_673.vhd cmp_694.vhd cmp_700.vhd compressed.vhd decis_levl.vhd fsm_163.vhd ilb_table.vhd mul_145.vhd mul_146.vhd mul_148.vhd mul_149.vhd mul_156.vhd mul_161.vhd qq2_code2_table.vhd qq4_code4_table.vhd qq6_code6_table.vhd quant26bt_neg.vhd quant26bt_pos.vhd result.vhd shr_141.vhd sub_143.vhd sub_144.vhd sub_147.vhd sub_160.vhd tb.vhd test_data.vhd top.vhd wh_code_table.vhd wl_code_table.vhd top.vhd:1879:26:warning: declaration of "result" hides component "result" [-Whide] variable result: std_logic_vector(N-1 downto 0); ^ elaborate and simulate tb ./tb:error: NULL access dereferenced ./tb:error: error during elaboration gna sr2655: failed analyze bug.vhdl elaborate and simulate bug ./bug:error: NULL access dereferenced in process .bug(this).P0 ./bug:error: error during elaboration gna sr2676: failed analyze reset_types.vhdl reset.vhdl reset-rtl.vhdl reset-test.vhdl elaborate and simulate reset_testbench --stop-time=1us ./reset_testbench:error: cannot open file "STD_INPUT" ./reset_testbench:error: error during elaboration gna sr2737: failed analyze testit.vhdl elaborate and simulate testit ./testit:error: NULL access dereferenced in process .testit(behave).P0 ./testit:error: error during elaboration gna ticket11: failed analyze signalevents.vhdl elaborate and simulate tb ./tb:error: NULL access dereferenced in process .tb(arch).P0 ./tb:error: simulation failed gna ticket14: failed analyze test_case.vhd analyze scrambler_tb.vhd elaborate and simulate scrambler_tb ./scrambler_tb:error: NULL access dereferenced ./scrambler_tb:error: error during elaboration gna ticket18: failed analyze psl_test_error.vhd elaborate and simulate psl_test_error --stop-time=200ns ./psl_test_error:error: NULL access dereferenced ./psl_test_error:error: error during elaboration gna ticket19: failed analyze psl_test_cover.vhd elaborate and simulate psl_test_cover --stop-time=200ns ./psl_test_cover:error: NULL access dereferenced ./psl_test_cover:error: error during elaboration gna ticket20: failed analyze morten1.vhdl elaborate and simulate morten ./morten:error: NULL access dereferenced ./morten:error: error during elaboration gna ticket24: failed analyze psl.vhdl elaborate psl simulate psl --psl-report=psl.out ( psl --psl-report=psl.out) ./psl:error: NULL access dereferenced ./psl:error: error during elaboration gna ticket26: failed analyze psl_test_named_statement.vhd elaborate and simulate psl_test_named_statement --stop-time=200ns ./psl_test_named_statement:error: NULL access dereferenced ./psl_test_named_statement:error: error during elaboration missing psl error gna ticket32: failed analyze repro.vhdl elaborate and simulate repro Remove work library analyze --work=unisim muxcy.vhdl analyze --work=poc simulation.vhdl analyze --work=poc arith_prefix_and.vhdl analyze --work=test arith_prefix_and_tb.vhdl elaborate and simulate --work=test arith_prefix_and_tb ./arith_prefix_and_tb:error: NULL access dereferenced ./arith_prefix_and_tb:error: error during elaboration gna ticket37: failed analyze dispgen.vhdl elaborate and simulate dispgen ./dispgen:error: NULL access dereferenced ./dispgen:error: error during elaboration gna ticket38: failed analyze associate.vhdl elaborate and simulate associate ./associate:error: NULL access dereferenced ./associate:error: error during elaboration gna ticket39: failed analyze test.vhd elaborate and simulate test ./test:error: NULL access dereferenced in process .test(test).p_p ./test:error: simulation failed gna ticket53: failed analyze decl1.vhdl analyze ent1.vhdl elaborate and simulate ent1 ./ent1:error: cannot open file "STD_INPUT" ./ent1:error: error during elaboration gna ticket59: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(behav).P0 ./ent:error: simulation failed gna ticket61: failed analyze bug.vhdl elaborate and simulate ent bug.vhdl:13:5:@0ms:(report note): false bug.vhdl:14:5:@0ms:(report note): false bug.vhdl:15:5:@0ms:(report note): 1 bug.vhdl:16:5:@0ms:(report note): false bug.vhdl:17:5:@0ms:(report note): Extended bug.vhdl:18:5:@0ms:(report note): Weird\Name ./ent:error: NULL access dereferenced in process .ent(a).main ./ent:error: simulation failed gna ticket66: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced ./ent:error: error during elaboration gna ticket69: failed analyze bug.vhdl bug.vhdl:9:3:warning: infinite loop for this process without a wait statement [-Wmissing-wait] main : process ^ analyze repro.vhdl repro.vhdl:1:1:warning: entity "ent" was also defined in file "bug.vhdl" [-Wlibrary] library ieee; ^ elaborate and simulate ent ./ent:error: NULL access dereferenced ./ent:error: error during elaboration gna ticket70: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced ./ent:error: error during elaboration gna ticket71: failed try to analyze bug.vhdl bug.vhdl:21:8: cannot associate an out object with in signal interface "sig" proc(prt, "entity"); ^ bug.vhdl:24:19: entity "ent2" was not analysed architecture a of ent2 is ^ bug.vhdl:39:19: entity "ent" was not analysed architecture a of ent is ^ Remove work library analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced ./ent:error: error during elaboration gna ticket73: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).main ./ent:error: simulation failed gna ticket74: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).main ./ent:error: simulation failed gna ticket77: failed analyze bug1.vhdl elaborate and simulate ent1 ./ent1:error: NULL access dereferenced ./ent1:error: error during elaboration analyze bug2.vhdl elaborate and simulate ent2 ./ent2:error: NULL access dereferenced ./ent2:error: error during elaboration analyze bug3.vhdl elaborate and simulate ent3 ./ent3:error: NULL access dereferenced ./ent3:error: error during elaboration Exit status 7 expected gna ticket78: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(a).main ./ent:error: simulation failed gna ticket84: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: cannot open file "STD_INPUT" ./ent:error: error during elaboration gna ticket86: failed analyze bug.vhdl elaborate and simulate ent ./ent:error: NULL access dereferenced in process .ent(behav).P0 ./ent:error: simulation failed gna ticket92: failed analyze cover_report2.vhd elaborate and simulate cover_report2 ./cover_report2:error: cannot open file "STD_INPUT" ./cover_report2:error: error during elaboration gna ticket94: failed analyze --work=alib asrc.vhd analyze tb.vhd elaborate and simulate tb ./tb:error: NULL access dereferenced in process .tb(arch).P2 ./tb:error: error during elaboration gna ticket96: failed analyze ent.vhd elaborate and simulate ent --stop-time=10ns ./ent:error: NULL access dereferenced in process .ent(a).main ./ent:error: simulation failed gna test failed (issue465 bug01 issue467 issue2244 issue225 issue1376 bug010 issue2250 issue473 issue2264 issue2269 issue476 issue227 issue2276 bug0103 issue1389 issue228 issue1392 bug0105 issue2288 issue14 bug0109 issue2299 issue1404 bug011 issue1405 issue1415 bug0115 issue1416 bug0117 bug012 issue1419 bug0123 issue2326 bug0125 issue1420 issue233 bug0128 bug0129 issue2336 bug0131 issue2337 issue1429 issue2344 issue2346 bug0134 issue1431 bug0136 issue1440 issue1443 bug015 issue1453 issue2353 bug017 issue2356 bug018 issue2357 issue1469 issue237 issue1474 issue238 issue1493 issue2381 issue2388 issue15 issue2393 issue150 issue2395 issue50 issue2396 issue1515 issue1523 issue2407 issue1528 bug019 issue529 bug02 issue1549 issue530 bug022 issue2410 bug023 issue158 issue2417 issue242 issue1589 issue2421 issue1593 issue2422 issue543 bug03 issue2424 issue1612 issue547 issue552 issue1625 issue2429 issue163 issue2430 issue561 issue2432 issue1654 issue584 issue2435 issue598 issue2437 issue606 issue244 issue1657 issue2440 issue610 issue2441 issue1667 issue615 issue2445 issue616 issue1672 issue2448 bug035 issue2452 issue620 issue1688 issue626 issue2454 issue1689 issue2459 issue1690 issue17 issue1704 issue2470 issue1708 issue2471 issue1715 issue2473 issue637 issue2476 issue641 issue642 issue2477 issue643 issue2480 issue645 issue2481 issue646 issue648 issue2486 issue1757 issue660 issue2489 issue663 issue2497 bug037 issue1764 issue2498 issue664 issue2502 bug04 issue2509 issue1771 issue668 issue1772 issue2516 issue2519 issue18 issue672 issue253 issue676 issue1814 issue1818 issue2536 issue685 issue254 issue687 issue689 issue2540 issue69 issue1831 issue2549 issue2550 issue1832 issue1833 issue694 issue256 issue1836 issue697 issue2562 issue1843 issue2564 issue1844 issue710 issue2569 issue1857 issue713 issue1862 issue257 issue715 issue2570 issue1867 issue2571 issue718 issue1872 issue73 issue1881 issue2579 issue731 issue2580 issue736 issue737 issue1898 issue2581 issue190 issue756 issue259 issue776 issue2590 issue780 issue1914 issue2594 issue786 issue787 issue26 issue788 issue1924 issue795 issue262 issue2620 issue807 issue818 issue821 issue828 issue290 issue852 issue293 issue874 issue877 issue880 issue885 issue1980 issue887 issue199 issue899 issue1994 issue912 issue2 issue20 issue913 issue916 issue202 issue917 issue918 issue2026 issue922 issue961 issue2051 issue98 issue2055 issue2065 issue983 issue984 lsp27 issue2071 issue2091 issue2097 issue2098 issue2112 issue2115 bug040 bug042 issue2118 issue2136 bug045 issue2138 issue2141 bug048 issue2147 bug049 issue30 bug05 issue300 issue2152 issue301 issue2153 issue310 issue2155 issue317 issue2157 issue320 issue321 issue328 issue332 issue2166 issue2174 issue339 issue2175 bug06 issue369 bug060 issue371 bug061 issue375 issue376 issue2189 issue2190 issue382 issue2193 bug065 bug07 issue394 issue397 issue2212 bug073 issue400 issue2215 bug074 issue406 bug077 bug078 bug079 issue2216 issue418 issue2218 issue424 issue2223 bug08 issue43 issue44 bug083 bug084 bug086 bug09 issue45 issue450 issue451 bug094 bug097 bug15638 bug15966 issue461 bug15993 bug16287 bug16695 bug17127 bug17203 bug17309 bug17545 bug17759 bug18280 bug18351 bug18359 bug18810 bug20312 bug20549 bug21487 bug21497 bug21500 bug23165 bug24064 bug7751 issue10 issue1038 issue1055 issue106 issue1063 issue1067 issue1120 issue1123 issue1125 issue1128 issue1129 issue1131 issue1137 issue1138 issue1145 issue1191 issue1201 issue1224 issue1226 issue1228 issue123 issue1233 issue1246 issue125 issue1256 issue1257 issue1262 issue1268 issue1292 issue1295 issue1300 issue1323 issue1338 issue1347 issue1354 issue1360 issue1361 perf02-long sr2655 sr2676 sr2737 ticket11 ticket14 ticket18 ticket19 ticket20 ticket24 ticket26 ticket32 ticket37 ticket38 ticket39 ticket53 ticket59 ticket61 ticket66 ticket69 ticket70 ticket71 ticket73 ticket74 ticket77 ticket78 ticket84 ticket86 ticket92 ticket94 ticket96 ) make[1]: [debian/rules:238: /<>/builddir/stamps/test-llvm] Error 1 (ignored) touch /<>/builddir/stamps/test-llvm dh_testdir ------------------------------------------------------------ Run testsuite for gcc backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. mkdir -p /<>/testrundir/gcc/usr/bin /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards (so that it can find its # libraries as relative paths from the executable's location). ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /<>/testrundir/gcc/usr/bin/ghdl-gcc /usr/bin/make -C /<>/builddir/gcc/build install DESTDIR=/<>/testrundir/gcc make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' /bin/bash ../src/mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc /<>/testrundir/gcc/usr/lib/ghdl/gcc make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' rm -rf /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include/README /usr/bin/install -c fixinc.sh /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/fixinc.sh /usr/bin/install -c fixincl /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/fixincl /usr/bin/install -c mkheaders /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/mkheaders make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /usr/bin/mkdir -p '/<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/gcc' gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-lto-dump-13 mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir: cannot create directory ‘/<>/testrundir/gcc/usr/lib/ghdl/gcc/bin’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:173: vhdl.install-common] Error 1 (ignored) rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib mkdir: cannot create directory ‘/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:176: vhdl.install-common] Error 1 (ignored) mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 cc1obj cc1objplus rust1 ghdl1; do \ if [ -f $file ] ; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ else true; fi; \ done rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-13; \ /usr/bin/install -c gcov /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-tool-13; \ /usr/bin/install -c \ gcov-tool /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-tool-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-dump-13; \ /usr/bin/install -c \ gcov-dump /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-dump-13; \ fi; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include rm -rf /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed chmod a+rx /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed; tar xpf - ) files=`cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/$i; \ fi; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include${multi_dir}/limits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-cpp-13; \ if [ x != x ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc//s390x-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/testrundir/gcc/usr/lib/ghdl/gcc//s390x-linux-gnu-cpp-13; \ else true; fi; \ fi rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 /usr/bin/install -c -m 644 doc/gcc.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 /usr/bin/install -c -m 644 doc/cpp.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/lto-dump.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info if [ -f doc/s390x-linux-gnu-cpp-13.info ]; then \ for f in doc/s390x-linux-gnu-cpp-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info if [ -f doc/s390x-linux-gnu-gcc-13.info ]; then \ for f in doc/s390x-linux-gnu-gcc-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info if [ -f doc/s390x-linux-gnu-cppinternals-13.info ]; then \ for f in doc/s390x-linux-gnu-cppinternals-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info if [ -f doc/s390x-linux-gnu-gccinstall-13.info ]; then \ for f in doc/s390x-linux-gnu-gccinstall-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info if [ -f doc/s390x-linux-gnu-gccint-13.info ]; then \ for f in doc/s390x-linux-gnu-gccint-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info; \ else true; fi; \ else true; fi; /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc$dir; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/gcc-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/gcc-13.mo; \ done /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13 /usr/bin/install -c xgcc /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13 if test "" != "yes" ; then \ if [ "s390x-linux-gnu-gcc-13" != "s390x-linux-gnu-gcc-13" ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln s390x-linux-gnu-gcc-13 s390x-linux-gnu-gcc-13 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "s390x-linux-gnu-gcc-13" != "s390x-linux-gnu-s390x-linux-gnu-gcc-13" ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-tmp; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln s390x-linux-gnu-gcc-13 s390x-linux-gnu-gcc-tmp && \ mv -f s390x-linux-gnu-gcc-tmp s390x-linux-gnu-s390x-linux-gnu-gcc-13 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-13&;s&^&s390x-linux-gnu-&'` ;\ target_install_name=s390x-linux-gnu-`echo $i|sed 's&$&-13&;s&^&s390x-linux-gnu-&'` ; \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi rm -f tmp-header-vars echo USER_H=float.h iso646.h stdarg.h stdbool.h stddef.h varargs.h stdfix.h stdnoreturn.h stdalign.h stdatomic.h config/s390/s390intrin.h htmintrin.h htmxlintrin.h vecintrin.h >> tmp-header-vars; echo T_GLIMITS_H=glimits.h >> tmp-header-vars; echo T_STDINT_GCC_H=stdint-gcc.h >> tmp-header-vars; echo HASHTAB_H=hashtab.h >> tmp-header-vars; echo OBSTACK_H=obstack.h >> tmp-header-vars; echo SPLAY_TREE_H=splay-tree.h >> tmp-header-vars; echo MD5_H=md5.h >> tmp-header-vars; echo XREGEX_H=xregex.h >> tmp-header-vars; echo FNMATCH_H=fnmatch.h >> tmp-header-vars; echo LINKER_PLUGIN_API_H=plugin-api.h >> tmp-header-vars; echo BCONFIG_H=bconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo CONFIG_H=config.h auto-host.h ansidecl.h >> tmp-header-vars; echo TCONFIG_H=tconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo TM_P_H=tm_p.h config/linux-protos.h s390-protos.h tm-preds.h >> tmp-header-vars; echo TM_D_H=tm_d.h config/s390/s390-d.h >> tmp-header-vars; echo GTM_H=tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h >> tmp-header-vars; echo TM_H=tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def >> tmp-header-vars; echo DUMPFILE_H=line-map.h dumpfile.h >> tmp-header-vars; echo VEC_H=vec.h statistics.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo HASH_TABLE_H=hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo EXCEPT_H=except.h hashtab.h >> tmp-header-vars; echo TARGET_H=tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h >> tmp-header-vars; echo C_TARGET_H=c-family/c-target.h c-family/c-target.def target-hooks-macros.h >> tmp-header-vars; echo COMMON_TARGET_H=common/common-target.h line-map.h input.h common/common-target.def target-hooks-macros.h >> tmp-header-vars; echo D_TARGET_H=d/d-target.h d/d-target.def target-hooks-macros.h >> tmp-header-vars; echo MACHMODE_H=machmode.h mode-classes.def >> tmp-header-vars; echo HOOKS_H=hooks.h >> tmp-header-vars; echo HOSTHOOKS_DEF_H=hosthooks-def.h hooks.h >> tmp-header-vars; echo LANGHOOKS_DEF_H=langhooks-def.h hooks.h >> tmp-header-vars; echo TARGET_DEF_H=target-def.h target-hooks-def.h hooks.h targhooks.h >> tmp-header-vars; echo C_TARGET_DEF_H=c-family/c-target-def.h c-family/c-target-hooks-def.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def hooks.h common/common-targhooks.h >> tmp-header-vars; echo CORETYPES_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h >> tmp-header-vars; echo RTL_BASE_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h >> tmp-header-vars; echo FIXED_VALUE_H=fixed-value.h >> tmp-header-vars; echo RTL_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h genrtl.h >> tmp-header-vars; echo READ_MD_H=obstack.h hashtab.h read-md.h >> tmp-header-vars; echo INTERNAL_FN_H=internal-fn.h internal-fn.def >> tmp-header-vars; echo TREE_CORE_H=tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h >> tmp-header-vars; echo TREE_H=tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo REGSET_H=regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h >> tmp-header-vars; echo BASIC_BLOCK_H=basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h >> tmp-header-vars; echo GIMPLE_H=gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h >> tmp-header-vars; echo GCOV_IO_H=gcov-io.h version.h auto-host.h gcov-counter.def >> tmp-header-vars; echo RECOG_H=recog.h >> tmp-header-vars; echo EMIT_RTL_H=emit-rtl.h >> tmp-header-vars; echo FLAGS_H=flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h >> tmp-header-vars; echo OPTIONS_H=options.h flag-types.h config/s390/s390-opts.h >> tmp-header-vars; echo FUNCTION_H=function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h >> tmp-header-vars; echo EXPR_H=expr.h insn-config.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h s390-opts.h genrtl.h flags.h flag-types.h options.h flag-types.h s390-opts.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h emit-rtl.h >> tmp-header-vars; echo OPTABS_H=optabs.h insn-codes.h insn-opinit.h >> tmp-header-vars; echo REGS_H=regs.h hard-reg-set.h >> tmp-header-vars; echo CFGLOOP_H=cfgloop.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h bitmap.h hashtab.h statistics.h sbitmap.h >> tmp-header-vars; echo IPA_UTILS_H=ipa-utils.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo IPA_REFERENCE_H=ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo CGRAPH_H=cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo DF_H=df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo RESOURCE_H=resource.h hard-reg-set.h df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo GCC_H=gcc.h version.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo GGC_H=ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo TIMEVAR_H=timevar.h timevar.def >> tmp-header-vars; echo INSN_ATTR_H=insn-attr.h insn-attr-common.h insn-addr.h >> tmp-header-vars; echo INSN_ADDR_H=insn-addr.h >> tmp-header-vars; echo C_COMMON_H=c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo C_PRAGMA_H=c-family/c-pragma.h line-map.h cpplib.h >> tmp-header-vars; echo C_TREE_H=c/c-tree.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo SYSTEM_H=system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PREDICT_H=predict.h predict.def >> tmp-header-vars; echo CPPLIB_H=line-map.h cpplib.h >> tmp-header-vars; echo CODYLIB_H=cody.hh >> tmp-header-vars; echo INPUT_H=line-map.h input.h >> tmp-header-vars; echo OPTS_H=line-map.h input.h vec.h statistics.h ggc.h gtype-desc.h statistics.h opts.h obstack.h >> tmp-header-vars; echo SYMTAB_H=symtab.h obstack.h >> tmp-header-vars; echo CPP_INTERNAL_H=internal.h >> tmp-header-vars; echo TREE_DUMP_H=tree-dump.h splay-tree.h line-map.h dumpfile.h >> tmp-header-vars; echo TREE_PASS_H=tree-pass.h timevar.h timevar.def line-map.h dumpfile.h >> tmp-header-vars; echo TREE_SSA_H=tree-ssa.h tree-ssa-operands.h bitmap.h hashtab.h statistics.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h hashtab.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h tree-ssa-alias.h >> tmp-header-vars; echo PRETTY_PRINT_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo TREE_PRETTY_PRINT_H=tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo GIMPLE_PRETTY_PRINT_H=gimple-pretty-print.h tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo DIAGNOSTIC_CORE_H=diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo DIAGNOSTIC_H=diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo C_PRETTY_PRINT_H=c-family/c-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TREE_INLINE_H=tree-inline.h >> tmp-header-vars; echo REAL_H=real.h >> tmp-header-vars; echo LTO_STREAMER_H=lto-streamer.h plugin-api.h tm.h options.h config/s390/s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h vec.h statistics.h ggc.h gtype-desc.h statistics.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h gcov-io.h version.h auto-host.h gcov-counter.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h alloc-pool.h >> tmp-header-vars; echo IPA_PROP_H=ipa-prop.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/s390/s390-opts.h real.h fixed-value.h tree-check.h vec.h statistics.h ggc.h gtype-desc.h statistics.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h s390x.h s390.h elfos.h gnu-user.h linux.h glibc-stdint.h linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h s390-opts.h s390-builtins.def s390-builtin-types.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h s390-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h alloc-pool.h >> tmp-header-vars; echo BITMAP_H=bitmap.h hashtab.h statistics.h >> tmp-header-vars; echo GCC_PLUGIN_H=gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h hashtab.h >> tmp-header-vars; echo PLUGIN_H=plugin.h gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h hashtab.h >> tmp-header-vars; echo PLUGIN_VERSION_H=plugin-version.h configargs.h >> tmp-header-vars; echo CONTEXT_H=context.h >> tmp-header-vars; echo GENSUPPORT_H=gensupport.h read-md.h optabs.def >> tmp-header-vars; echo RTL_SSA_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h insn-config.h splay-tree-utils.h recog.h regs.h hard-reg-set.h function-abi.h obstack-utils.h mux-utils.h rtlanal.h memmodel.h emit-rtl.h rtl-ssa/accesses.h rtl-ssa/insns.h rtl-ssa/blocks.h rtl-ssa/changes.h rtl-ssa/functions.h rtl-ssa/is-a.inl rtl-ssa/access-utils.h rtl-ssa/insn-utils.h rtl-ssa/movement.h rtl-ssa/change-utils.h rtl-ssa/member-fns.inl >> tmp-header-vars; echo GTFILES_H=gt-coverage.h gt-symtab-thunks.h gt-caller-save.h gt-symtab.h gt-alias.h gt-bitmap.h gt-cselib.h gt-cgraph.h gt-ipa-prop.h gt-ipa-cp.h gt-ipa-sra.h gt-ipa-modref.h gt-diagnostic-spec.h gt-dwarf2asm.h gt-dwarf2cfi.h gt-dwarf2ctf.h gt-dwarf2out.h gt-ctfout.h gt-btfout.h gt-tree-vect-generic.h gt-gimple-isel.h gt-dojump.h gt-emit-rtl.h gt-explow.h gt-expr.h gt-function.h gt-except.h gt-ggc-tests.h gt-gcse.h gt-godump.h gt-lists.h gt-optabs-libfuncs.h gt-profile.h gt-mcf.h gt-reg-stack.h gt-cfgrtl.h gt-stor-layout.h gt-stringpool.h gt-tree.h gt-varasm.h gt-tree-ssanames.h gt-tree-eh.h gt-tree-ssa-address.h gt-tree-cfg.h gt-tree-ssa-loop-ivopts.h gt-tree-dfa.h gt-tree-iterator.h gt-gimple-expr.h gt-tree-scalar-evolution.h gt-tree-profile.h gt-tree-nested.h gt-omp-general.h gt-omp-low.h gt-targhooks.h gt-s390.h gt-passes.h gt-cgraphclones.h gt-tree-phinodes.h gt-trans-mem.h gt-vtable-verify.h gt-asan.h gt-ubsan.h gt-tsan.h gt-sanopt.h gt-sancov.h gt-ipa-devirt.h gt-calls.h gt-analyzer-analyzer-language.h gt-ada-decl.h gt-ada-trans.h gt-ada-utils.h gt-ada-misc.h gt-c-c-lang.h gt-c-c-decl.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-c-objc-common.h gt-c-c-parser.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-contracts.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-cp-cp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-d-d-builtins.h gt-d-d-lang.h gt-d-typeinfo.h gt-fortran-f95-lang.h gt-fortran-trans-decl.h gt-fortran-trans-intrinsic.h gt-fortran-trans-io.h gt-fortran-trans-stmt.h gt-fortran-trans-types.h gt-go-go-lang.h gt-jit-dummy-frontend.h gt-lto-lto-lang.h gt-lto-lto.h gt-lto-lto-common.h gt-lto-lto-dump.h gt-m2-gm2-lang.h gt-m2-rtegraph.h gt-m2-m2block.h gt-m2-m2builtins.h gt-m2-m2decl.h gt-m2-m2except.h gt-m2-m2expr.h gt-m2-m2statement.h gt-m2-m2type.h gt-objc-objc-act.h gt-objc-objc-runtime-shared-support.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-c-c-parser.h gt-c-c-decl.h gt-c-c-objc-common.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-contracts.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-objcp-objcp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-objc-objc-act.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-objc-objc-runtime-shared-support.h gt-rust-rust-lang.h gt-vhdl-ortho-lang.h >> tmp-header-vars; echo GTFILES_LANG_H=gtype-ada.h gtype-c.h gtype-cp.h gtype-d.h gtype-fortran.h gtype-go.h gtype-jit.h gtype-lto.h gtype-m2.h gtype-objc.h gtype-objcp.h gtype-rust.h gtype-vhdl.h >> tmp-header-vars; /bin/bash ../../src/gcc/../move-if-change tmp-header-vars b-header-vars echo timestamp > s-header-vars /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin /usr/bin/install -c -m 644 gtype.state /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/gtype.state /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin /usr/bin/install -c gengtype /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin/gengtype /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/s390/linux.h ../../src/gcc/config/s390/s390-builtin-types.def ../../src/gcc/config/s390/s390-builtins.def ../../src/gcc/config/s390/s390-opts.h ../../src/gcc/config/s390/s390-protos.h ../../src/gcc/config/s390/s390.h ../../src/gcc/config/s390/s390x.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h align.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-protos.h config/linux.h config/s390/linux.h config/s390/s390-protos.h config/s390/s390.h config/s390/s390x.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h poly-int-types.h poly-int.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /<>/testrundir/gcc$dest; \ dir=`dirname $dest`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc$dir; \ /usr/bin/install -c -m 644 $path /<>/testrundir/gcc$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/elfos.h mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/linux.h mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390 /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-builtin-types.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-opts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390x.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390x.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cp/cp-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/d/d-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/m2/m2-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/objc/objc-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-common.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390x.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390x.h /usr/bin/install -c -m 644 configargs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-client-data-hooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-client-data-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-infer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-infer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-op.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-op.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-notes.def /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/logical-location.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/make-unique.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/make-unique.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts-jobserver.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts-jobserver.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-logical-location.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-storage.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range-storage.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/xcoff.h /usr/bin/install -c -m 644 b-header-vars /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/b-header-vars make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/cpplib-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/cpplib-13.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;s390x-linux-gnu-ranlib ./libiberty.an ); \ mv -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/testrundir/gcc${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /<>/testrundir/gcc${thd}; \ done; \ fi make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' /bin/bash ../../src/c++tools/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 /usr/bin/install -c g++-mapper-server /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /usr/bin/mkdir -p '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin' make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13 /usr/bin/install -c -m 644 libgcc_eh.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/ chmod 644 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc_eh.a s390x-linux-gnu-ranlib /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; ln -s libgcc_s.so.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13 /usr/bin/install -c -m 644 libgcc.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/ chmod 644 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc.a s390x-linux-gnu-ranlib /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc.a /usr/bin/install -c -m 644 libgcov.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/ chmod 644 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcov.a s390x-linux-gnu-ranlib /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o"; \ for file in $parts; do \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/$file; \ /usr/bin/install -c -m 644 $file /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/; \ case $file in \ *.a) \ s390x-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include /usr/bin/install -c -m 644 unwind.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include make[5]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[5]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' /usr/bin/make -C /<>/builddir/gcc install DESTDIR=/<>/testrundir/gcc make[2]: Entering directory '/<>/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. s390x-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/vhdl/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/vhdl/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/vhdl/grt.lst echo "# link options for executables" > lib/ghdl/gcc/vhdl/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/gcc/vhdl/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/gcc/vhdl/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/gcc/vhdl/grt-shared.lst; done mkdir -p "/<>/testrundir/gcc/usr" mkdir -p "/<>/testrundir/gcc/usr/bin" mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc" mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl" mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl" install -m 644 lib/ghdl/gcc/vhdl/libgrt.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/vhdl/grt.lst /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/vhdl/grt-exec.lst /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/vhdl/grt-shared.lst /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /<>/builddir/gcc/../../src/grt/grt.ver /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/vhdl/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/vhdl/libbacktrace.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/gcc/vhdl/$d/* "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/"; mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/" gcc-13 -c -g -o vpi_thunk.o /<>/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 gcc-13 -c -g -o vhpi_thunk.o /<>/builddir/gcc/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 mkdir -p lib/ghdl/gcc gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/ghdl/gcc/libghdlvpi.so vpi_thunk.o vhpi_thunk.o install -m 755 -p lib/ghdl/gcc/libghdlvpi.so "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vpi_user.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vhpi_user.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 755 -p libghdl.a "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p libghdl.link "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p ../../src/synth/include/synth.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/<>/testrundir/gcc/usr/bin/" install -m 755 -p lib/libghw.so "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p ../../ghw/libghw.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" "/<>/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=87 > "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl" "/<>/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=93 > "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl" "/<>/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=08 > "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/gcc' mv /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc \ /<>/testrundir/gcc/usr/bin/ghdl-gcc mv /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a ln -sf ghdl/gcc/lib/gcc /<>/testrundir/gcc/usr/lib/gcc debian/tests/ghdl-tests buildtest gcc > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /<>/testrundir/gcc/usr/bin/ghdl-gcc GHDL 4.0.0 (Ubuntu 4.0.0+dfsg-0ubuntu2) [Dunoon edition] Compiled with GNAT Version: 13.2.0 GCC 13.2.0 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2024 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /<>/testrundir/gcc/usr/bin/ghdl-gcc COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version help-options Display help for analyzer options alias: --help-options, opts-help, --options-help help-warnings Display help about all the warnings alias: --help-warnings To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 4 test workers. sanity 005examples: ok sanity 000hello: failed sanity 002hello2008: failed sanity 001hello87: failed sanity 008coverage: ok sanity 004all08: failed sanity 006upf: failed sanity 000hello: failed analyze hello.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/s390x-linux-gnu/13/ghdl1 not found sanity 002hello2008: failed analyze hello.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/s390x-linux-gnu/13/ghdl1 not found sanity 001hello87: failed analyze hello.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/s390x-linux-gnu/13/ghdl1 not found sanity 004all08: failed analyze all08.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/s390x-linux-gnu/13/ghdl1 not found sanity 006upf: failed analyze test.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/s390x-linux-gnu/13/ghdl1 not found sanity test failed (000hello 002hello2008 001hello87 004all08 006upf ) make[1]: [debian/rules:335: /<>/builddir/stamps/test-gcc] Error 1 (ignored) touch /<>/builddir/stamps/test-gcc dh override_dh_auto_test make[1]: Leaving directory '/<>' create-stamp debian/debhelper-build-stamp dh_prep -a debian/rules override_dh_auto_install make[1]: Entering directory '/<>' dh_testdir ------------------------------------------------------------ Installing with llvm backend ------------------------------------------------------------ /usr/bin/make -C /<>/builddir/llvm install DESTDIR=../../debian/tmp make[2]: Entering directory '/<>/builddir/llvm' mkdir -p "../../debian/tmp/usr" mkdir -p "../../debian/tmp/usr/bin" mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm" mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl" mkdir -p "../../debian/tmp/usr/lib/ghdl/include/ghdl" VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-13" \ CXXFLAGS=" -g -Wall -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2" \ GNATMAKE="gnatmake -v -j4 -R -eS " all make[3]: Entering directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 \ `llvm-config --ldflags --libs --system-libs` -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "filesystem.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-coverage.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "mutils.ali" being checked ... "types_utils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "grt-types.ali" being checked ... "grt-algos.ali" being checked ... "utils_io.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "elab-vhdl_types.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt-c.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-errors.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "elab-vhdl_context.ali" being checked ... "grt-strings.ali" being checked ... "grt-arith.ali" being checked ... "netlists.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "synth-source.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-files.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-builders.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-files_operations.ali" being checked ... "grt-stdio.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "grt-table.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "grt-dynload.ali" being checked ... "synthesis.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "grt-readline.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-verilog_insts.ali" being checked ... "grt-readline_none.ali" being checked ... "netlists-butils.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "verilog.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "verilog-vpi.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-standard.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-sv_strings.ali" being checked ... "verilog-disp_tree.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "name_maps.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-astdio.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "filesystem.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "version.ali" being checked ... "std_names.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "verilog-vpi.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "mutils.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "grt-c.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-severity.ali" being checked ... "grt-dynload.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "grt-readline.ali" being checked ... "grt-table.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm ../../debian/tmp/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm ../../debian/tmp/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. s390x-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/libgrt.a ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/vhdl/grt.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/grt-exec.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/vhdl/grt-shared.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /<>/builddir/llvm/../../src/grt/grt.ver ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/llvm/vhdl/$d/* "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/"; mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p lib/ghdl/llvm/libghdlvpi.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vpi_user.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vhpi_user.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 755 -p libghdl.a "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p libghdl.link "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p ../../src/synth/include/synth.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "../../debian/tmp/usr/bin/" install -m 755 -p lib/libghw.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p ../../ghw/libghw.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=87 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=93 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=08 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/llvm' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/s390x-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/llvm/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/llvm/vhdl/src mv debian/tmp/usr/lib/ghdl/llvm/libghdl-*.so debian/tmp/usr/lib/s390x-linux-gnu/ mv debian/tmp/usr/lib/ghdl/llvm/libghdl.a debian/tmp/usr/lib/s390x-linux-gnu/ dh_testdir ------------------------------------------------------------ Installing with gcc backend ------------------------------------------------------------ # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards. mkdir -p /<>/debian/tmp/usr/bin ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /<>/debian/tmp/usr/bin/ghdl-gcc /usr/bin/make -C /<>/builddir/gcc/build install DESTDIR=/<>/debian/tmp make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' /bin/bash ../src/mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc /<>/debian/tmp/usr/lib/ghdl/gcc mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc /<>/debian/tmp/usr/lib/ghdl/gcc make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' rm -rf /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include/README /usr/bin/install -c fixinc.sh /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/fixinc.sh /usr/bin/install -c fixincl /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/fixincl /usr/bin/install -c mkheaders /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/mkheaders make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /usr/bin/mkdir -p '/<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/gcc' gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=s390x-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/bin mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/bin /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/info mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/info /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-lto-dump-13 mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/bin mkdir: cannot create directory ‘/<>/debian/tmp/usr/lib/ghdl/gcc/bin’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:173: vhdl.install-common] Error 1 (ignored) rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /<>/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/lib mkdir: cannot create directory ‘/<>/debian/tmp/usr/lib/ghdl/gcc/lib’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:176: vhdl.install-common] Error 1 (ignored) mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 cc1obj cc1objplus rust1 ghdl1; do \ if [ -f $file ] ; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/$file; \ else true; fi; \ done rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-13; \ /usr/bin/install -c gcov /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-tool-13; \ /usr/bin/install -c \ gcov-tool /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-tool-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-dump-13; \ /usr/bin/install -c \ gcov-dump /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-dump-13; \ fi; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include rm -rf /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed chmod a+rx /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed; tar xpf - ) files=`cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/$i; \ fi; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include${multi_dir}/limits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-cpp-13; \ if [ x != x ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc//s390x-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/debian/tmp/usr/lib/ghdl/gcc//s390x-linux-gnu-cpp-13; \ else true; fi; \ fi rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 /usr/bin/install -c -m 644 doc/gcc.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 /usr/bin/install -c -m 644 doc/cpp.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/lto-dump.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info if [ -f doc/s390x-linux-gnu-cpp-13.info ]; then \ for f in doc/s390x-linux-gnu-cpp-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info if [ -f doc/s390x-linux-gnu-gcc-13.info ]; then \ for f in doc/s390x-linux-gnu-gcc-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info if [ -f doc/s390x-linux-gnu-cppinternals-13.info ]; then \ for f in doc/s390x-linux-gnu-cppinternals-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info if [ -f doc/s390x-linux-gnu-gccinstall-13.info ]; then \ for f in doc/s390x-linux-gnu-gccinstall-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info if [ -f doc/s390x-linux-gnu-gccint-13.info ]; then \ for f in doc/s390x-linux-gnu-gccint-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info; \ else true; fi; \ else true; fi; /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp$dir; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/gcc-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/gcc-13.mo; \ done /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13 /usr/bin/install -c xgcc /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13 if test "" != "yes" ; then \ if [ "s390x-linux-gnu-gcc-13" != "s390x-linux-gnu-gcc-13" ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln s390x-linux-gnu-gcc-13 s390x-linux-gnu-gcc-13 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "s390x-linux-gnu-gcc-13" != "s390x-linux-gnu-s390x-linux-gnu-gcc-13" ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-tmp; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln s390x-linux-gnu-gcc-13 s390x-linux-gnu-gcc-tmp && \ mv -f s390x-linux-gnu-gcc-tmp s390x-linux-gnu-s390x-linux-gnu-gcc-13 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-13&;s&^&s390x-linux-gnu-&'` ;\ target_install_name=s390x-linux-gnu-`echo $i|sed 's&$&-13&;s&^&s390x-linux-gnu-&'` ; \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /<>/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin /usr/bin/install -c -m 644 gtype.state /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/gtype.state /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin /usr/bin/install -c gengtype /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin/gengtype /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/s390/linux.h ../../src/gcc/config/s390/s390-builtin-types.def ../../src/gcc/config/s390/s390-builtins.def ../../src/gcc/config/s390/s390-opts.h ../../src/gcc/config/s390/s390-protos.h ../../src/gcc/config/s390/s390.h ../../src/gcc/config/s390/s390x.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h align.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-protos.h config/linux.h config/s390/linux.h config/s390/s390-protos.h config/s390/s390.h config/s390/s390x.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h poly-int-types.h poly-int.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /<>/debian/tmp$dest; \ dir=`dirname $dest`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp$dir; \ /usr/bin/install -c -m 644 $path /<>/debian/tmp$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/elfos.h mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/linux.h mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390 /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-builtin-types.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-opts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390x.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390x.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cp/cp-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/d/d-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/m2/m2-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/objc/objc-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-common.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390.h /usr/bin/install -c -m 644 ../../src/gcc/config/s390/s390x.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390x.h /usr/bin/install -c -m 644 configargs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-client-data-hooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-client-data-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-infer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-infer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-op.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-op.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-notes.def /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/logical-location.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/make-unique.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/make-unique.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts-jobserver.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts-jobserver.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-logical-location.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-storage.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range-storage.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/xcoff.h /usr/bin/install -c -m 644 b-header-vars /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/b-header-vars make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/cpplib-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/cpplib-13.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;s390x-linux-gnu-ranlib ./libiberty.an ); \ mv -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`s390x-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/debian/tmp${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /<>/debian/tmp${thd}; \ done; \ fi make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' /bin/bash ../../src/c++tools/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 /usr/bin/install -c g++-mapper-server /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13 make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /usr/bin/mkdir -p '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin' make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13 /usr/bin/install -c -m 644 libgcc_eh.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/ chmod 644 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc_eh.a s390x-linux-gnu-ranlib /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; ln -s libgcc_s.so.1 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13 /usr/bin/install -c -m 644 libgcc.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/ chmod 644 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc.a s390x-linux-gnu-ranlib /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc.a /usr/bin/install -c -m 644 libgcov.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/ chmod 644 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcov.a s390x-linux-gnu-ranlib /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o"; \ for file in $parts; do \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/$file; \ /usr/bin/install -c -m 644 $file /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/; \ case $file in \ *.a) \ s390x-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include /usr/bin/install -c -m 644 unwind.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include make[5]: Entering directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[5]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/s390x-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' /usr/bin/make -C /<>/builddir/gcc install DESTDIR=/<>/debian/tmp make[2]: Entering directory '/<>/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mbackchain -ffile-prefix-map=/<>=. -fstack-protector-strong -fno-stack-clash-protection -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu2 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. s390x-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/vhdl/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/vhdl/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/vhdl/grt.lst mkdir -p "/<>/debian/tmp/usr" mkdir -p "/<>/debian/tmp/usr/bin" mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc" mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl" mkdir -p "/<>/debian/tmp/usr/lib/ghdl/include/ghdl" install -m 644 lib/ghdl/gcc/vhdl/libgrt.a /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/vhdl/grt.lst /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/vhdl/grt-exec.lst /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/vhdl/grt-shared.lst /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /<>/builddir/gcc/../../src/grt/grt.ver /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/vhdl/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/vhdl/libbacktrace.a /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/gcc/vhdl/$d/* "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/"; mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p lib/ghdl/gcc/libghdlvpi.so "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vpi_user.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vhpi_user.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 755 -p libghdl.a "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p libghdl.link "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p ../../src/synth/include/synth.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/<>/debian/tmp/usr/bin/" install -m 755 -p lib/libghw.so "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p ../../ghw/libghw.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" "/<>/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=87 > "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl" "/<>/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=93 > "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl" "/<>/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=08 > "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/gcc' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/s390x-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/gcc/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/gcc/vhdl/src mv debian/tmp/usr/lib/ghdl/gcc/libghdl-*.so debian/tmp/usr/lib/s390x-linux-gnu/ mv debian/tmp/usr/lib/ghdl/gcc/libghdl.a debian/tmp/usr/lib/s390x-linux-gnu/ ------------------------------------------------------------ Common install final steps ------------------------------------------------------------ install -pD debian/ghdl.wrapper /<>/debian/tmp/usr/bin/ghdl cd debian/tmp/usr/lib/s390x-linux-gnu && ln -s libghdl-*.so libghdl.so rm -f debian/tmp/usr/lib/ghdl/src/ieee2008/LICENSE cd debian/tmp; find ! -type d ./usr/lib/s390x-linux-gnu/libghdl.a ./usr/lib/s390x-linux-gnu/libghdl-4_0_0.so ./usr/lib/s390x-linux-gnu/libghdl.so ./usr/lib/ghdl/gcc/vhdl/grt.lst ./usr/lib/ghdl/gcc/vhdl/grt.ver ./usr/lib/ghdl/gcc/vhdl/vendors/compile-lattice.sh ./usr/lib/ghdl/gcc/vhdl/vendors/shared.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-ise.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-altera.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-intel.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-vivado.sh ./usr/lib/ghdl/gcc/vhdl/vendors/shared.psm1 ./usr/lib/ghdl/gcc/vhdl/vendors/config.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-osvvm.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-lattice.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-osvvm.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/filter.analyze.sh ./usr/lib/ghdl/gcc/vhdl/vendors/config.psm1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-uvvm.sh ./usr/lib/ghdl/gcc/vhdl/vendors/README.md ./usr/lib/ghdl/gcc/vhdl/vendors/compile-uvvm.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-ise.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-intel.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-altera.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-vivado.ps1 ./usr/lib/ghdl/gcc/vhdl/grt-exec.lst ./usr/lib/ghdl/gcc/vhdl/grt-shared.lst ./usr/lib/ghdl/gcc/vhdl/ansi_color.sh ./usr/lib/ghdl/gcc/vhdl/libgrt.a ./usr/lib/ghdl/gcc/vhdl/std/v87/textio-body.o ./usr/lib/ghdl/gcc/vhdl/std/v87/textio.o ./usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o ./usr/lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf ./usr/lib/ghdl/gcc/vhdl/std/v93/textio-body.o ./usr/lib/ghdl/gcc/vhdl/std/v93/textio.o ./usr/lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf ./usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o ./usr/lib/ghdl/gcc/vhdl/std/v08/textio-body.o ./usr/lib/ghdl/gcc/vhdl/std/v08/textio.o ./usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o ./usr/lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf ./usr/lib/ghdl/gcc/vhdl/std/v08/env.o ./usr/lib/ghdl/gcc/vhdl/std/v08/env-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_arith.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_textio.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_signed.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_arith.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_textio.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_signed.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_bit_context.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_std_context.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_arith.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_textio.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_float_types.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_signed.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std-body.o ./usr/lib/ghdl/gcc/vhdl/src ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcov.a ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/iso646.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/limits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/vecintrin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdbool.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdatomic.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/htmxlintrin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdalign.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/float.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/gcov.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/htmintrin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdarg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/varargs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/syslimits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/unwind.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdint-gcc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdnoreturn.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/s390intrin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stddef.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdfix.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include/stdint.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/crtend.o ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/crtbeginT.o ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc.a ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/pthread.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/include-fixed/README ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-affine.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-iterator.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/poly-int.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ada/gcc-interface/ada-tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/splay-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ggc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sese.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/print-rtl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/varasm.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-op.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/logical-location.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2out.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range-storage.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-map-traits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ssa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symbol-summary.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-simd-clone.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-alias.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/realmpfr.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/spellcheck-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-addr.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tracer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cpplib.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/intl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regrename.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlhash.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-ter.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/domwalk.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-parloops.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/b-header-vars ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mode-classes.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-globals.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/poly-int-types.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-codes.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/d/d-tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ccmp.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/emit-rtl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfghooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-utils.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lra-int.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/print-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa-warn-access.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/auto-profile.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hosthooks-def.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/prefix.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-range.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/double-int.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/safe-ctype.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ssa-iterators.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-check.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gtm-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtins.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-object-size.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/range-op.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fold-const.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/alloc-pool.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-into-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graph.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgloop.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hard-reg-set.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dom.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cp/cp-tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-coalesce.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-niter.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dominance.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/except.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssanames.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ira.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts-jobserver.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-fold.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-logical-location.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/version.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-map.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/range.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/output.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vec-perm-indices.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/reg-notes.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-low.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/json.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stor-layout.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-dfa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ordered-hash-map.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ansidecl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hw-doloop.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimplify-me.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sched-int.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-query.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/timevar.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/real.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dse.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsstruct.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-cfgcleanup.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/signop.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/line-map.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlhooks-def.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vtable-verify.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-table.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-infer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphds.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-cache.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/obstack-utils.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-ivopts.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hwint.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-icf.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/system.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-fnsummary.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/predict.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lcm.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched-dump.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/machmode.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphite.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-core.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgrtl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcov-io.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/edit-context.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/defaults.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest-diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stmt.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-constants.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gomp-constants.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bb-reorder.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-prop.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optinfo-emit-json.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ggc-internal.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fold-const-call.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-ssa-warn-restrict.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-modref-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-edge.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dce.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-prof.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/md5.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-sccvn.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-threadupdate.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/valtrack.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-config.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-strlen.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-math-opts.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/machmode.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/libiberty.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtins.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-inline.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-attr-common.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/timevar.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/df.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hashtab.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/read-md.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/flags.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/internal-fn.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect2-aix.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/highlev-plugin-common.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest-rtl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-operands.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/limitx.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/is-a.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/memmodel.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcov-counter.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-alias-compare.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gensupport.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-metadata.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-address.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgexpand.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgloopmanip.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-low.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tsystem.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/spellcheck.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-live.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/profile-count.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vrp.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin-api.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsyslimits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-general.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cgraph.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-iterator.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-chrec.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-set.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coverage.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-hash-traits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-inline.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/inchash.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/alias.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-array-bounds.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/glimits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bitmap.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int-print.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/input.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgbuild.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/attr-fnspec.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect2.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/treestruct.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-flags.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop-manip.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/configargs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fixed-value.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/objc/objc-tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dbgcnt.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-pointer-equiv.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-iter.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sbitmap.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-plugin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-walk.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux-protos.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/gnu-user.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/initfini-array.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/elfos.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-builtin-types.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-protos.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390-opts.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390x.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/s390.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/s390/linux.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/glibc-stdint.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/config/linux.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/options.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-predict.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtx-vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mem-stats.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-color.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-dump.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-event-id.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coretypes.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/predict.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tristate.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-modref.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcse-common.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/distro-defaults.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-url.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/typed-splay-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-gori.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/color-macros.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/wide-int-bitmask.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/debug.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/trans-mem.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mux-utils.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/asan.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-scalar-evolution.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/xcoff.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/shortest-paths.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/backend.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dfp.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opt-suggestions.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dbgcnt.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-ccp.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfganal.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tsan.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/graphviz.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-libfuncs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sreal.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-fold.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-switch-conversion.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sel-sched-ir.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-if-conv.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-symtab.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ifcvt.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcse.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opts-diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm_p.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/shrink-wrap.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/bversion.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/splay-tree-utils.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regcprop.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/convert.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-tree.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-predicate.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vr-values.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gcc-rich-location.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-reassoc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/targhooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-builder.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/file-prefix-map.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-reference.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/recog.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-cfg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab-clones.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/value-relation.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-client-data-hooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-def.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-offload.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/file-find.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-objc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-common.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-common.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/c-family/c-pragma.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/filenames.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/all-tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/libfuncs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-core.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sparseset.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/genrtl.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cppdefault.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-attr.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/stringpool.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab-thunks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/et-forest.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-sra.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/statistics.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-match.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gsyms.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/memory-block.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/flag-types.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimplify.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-hooks-macros.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/langhooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cppbuiltin.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/resource.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2asm.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtlanal.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hosthooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/auto-host.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/context.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ubsan.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-spec.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-expr.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-threadedge.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/align.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/conditions.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-data-ref.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/symtab.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/function.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/plugin-version.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pass_manager.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/limity.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-icf-gimple.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/data-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-dce.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-loop.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/regset.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/m2/m2-tree.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-notes.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-eh.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-pass.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/collect-utils.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/basic-block.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/langhooks-def.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/opt-problem.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/fibonacci_heap.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/errors.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/internal-fn.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/read-rtl-function.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-ref.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lower-subreg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/attribs.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-hasher.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ira-int.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/function-abi.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ddg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/expr.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/generic-match.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gtype-desc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tm-preds.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-predicate-analysis.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/omp-expand.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/rtl-error.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/substring-locations.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/calls.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ipa-param-manipulation.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/array-traits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/loop-unroll.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-propagate.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtin-types.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-trace.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/ctfc.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/explow.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dojump.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/toplev.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/hash-traits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-nested.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/mem-stats-traits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pointer-query.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic-path.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dwarf2ctf.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/addresses.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/passes.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gengtype.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-phinodes.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vmsdbg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/make-unique.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-vectorizer.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optinfo.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/optabs-query.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/escaped_string.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-stdarg.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pass-instances.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-modes-inline.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sync-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/digraph.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/insn-modes.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/coroutine-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dump-context.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/sanitizer.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/gimple-range-path.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-ssa-scopedtables.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/builtin-attrs.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/typeclass.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/reload.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-compress.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/incpath.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/selftest.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/int-vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/streamer-hooks.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/obstack.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cif-code.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/target-insns.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lra.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/expmed.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/vec.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/dumpfile.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfgcleanup.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cfg-flags.def ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/iterator-utils.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/tree-outof-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/run-rtl-passes.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/cselib.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/profile.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/include/lto-section-names.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.so.0.0.0 ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.so ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.so ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.la ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcc1plugin.so.0 ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.so.0.0.0 ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.la ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/gtype.state ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/plugin/libcp1plugin.so.0 ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/crtbeginS.o ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/libgcc_eh.a ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/crtendS.o ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include/limits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/include/README ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/mkheaders.conf ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/gsyslimits.h ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/fixinc_list ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/install-tools/macro_list ./usr/lib/ghdl/gcc/lib/gcc/s390x-linux-gnu/13/crtbegin.o ./usr/lib/ghdl/gcc/lib/libgcc_s.so.1 ./usr/lib/ghdl/gcc/lib/libcc1.so ./usr/lib/ghdl/gcc/lib/libcc1.la ./usr/lib/ghdl/gcc/lib/libcc1.so.0 ./usr/lib/ghdl/gcc/lib/libcc1.so.0.0.0 ./usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a ./usr/lib/ghdl/gcc/lib/libgcc_s.so ./usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccinstall-13.info ./usr/lib/ghdl/gcc/share/info/ghdl.info ./usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gccint-13.info ./usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cppinternals-13.info ./usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-gcc-13.info ./usr/lib/ghdl/gcc/share/info/s390x-linux-gnu-cpp-13.info ./usr/lib/ghdl/gcc/share/man/man7/gfdl.7 ./usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 ./usr/lib/ghdl/gcc/share/man/man7/gpl.7 ./usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-13.1 ./usr/lib/ghdl/gcc/share/man/man1/ghdl.1 ./usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-lto-dump-13.1 ./usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-cpp-13.1 ./usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-tool-13.1 ./usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcc-13.1 ./usr/lib/ghdl/gcc/share/man/man1/s390x-linux-gnu-gcov-dump-13.1 ./usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/libghdl.link ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/g++-mapper-server ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/lto-wrapper ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/ghdl1 ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/liblto_plugin.la ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/plugin/gengtype ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/mkheaders ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/fixincl ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/fixinc.sh ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/install-tools/mkinstalldirs ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/collect2 ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/liblto_plugin.so ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/lto1 ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/cc1 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-ranlib-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-s390x-linux-gnu-gcc-nm-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-dump-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-tool-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-s390x-linux-gnu-gcc-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-cpp-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-nm-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-lto-dump-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-ar-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-s390x-linux-gnu-gcc-ar-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-s390x-linux-gnu-gcc-ranlib-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcc-13 ./usr/lib/ghdl/gcc/bin/s390x-linux-gnu-gcov-13 ./usr/lib/ghdl/gcc/bin/ghdl-gcc ./usr/lib/ghdl/gcc/libghdlvpi.so ./usr/lib/ghdl/gcc/libghw.so ./usr/lib/ghdl/include/ghdl/vpi_user.h ./usr/lib/ghdl/include/ghdl/libghw.h ./usr/lib/ghdl/include/ghdl/synth_gates.h ./usr/lib/ghdl/include/ghdl/vhpi_user.h ./usr/lib/ghdl/include/ghdl/synth.h ./usr/lib/ghdl/src/ieee2008/std_logic_textio.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl ./usr/lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_float_types.vhdl ./usr/lib/ghdl/src/ieee2008/math_complex.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit-body.vhdl ./usr/lib/ghdl/src/ieee2008/float_generic_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/math_complex-body.vhdl ./usr/lib/ghdl/src/ieee2008/float_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl ./usr/lib/ghdl/src/ieee2008/math_real-body.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std-body.vhdl ./usr/lib/ghdl/src/ieee2008/ieee_std_context.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/math_real.vhdl ./usr/lib/ghdl/src/ieee2008/std_logic_1164.vhdl ./usr/lib/ghdl/src/ieee2008/ieee_bit_context.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl ./usr/lib/ghdl/src/upf/upf-body.vhdl ./usr/lib/ghdl/src/upf/upf.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_textio.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_misc-body.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_arith.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_signed.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_misc.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_unsigned.vhdl ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc-body.vhdl ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc.vhdl ./usr/lib/ghdl/src/std/v87/textio-body.vhdl ./usr/lib/ghdl/src/std/v87/textio.vhdl ./usr/lib/ghdl/src/std/v87/standard.vhdl ./usr/lib/ghdl/src/std/env.vhdl ./usr/lib/ghdl/src/std/v93/textio-body.vhdl ./usr/lib/ghdl/src/std/v93/textio.vhdl ./usr/lib/ghdl/src/std/v93/standard.vhdl ./usr/lib/ghdl/src/std/v08/textio-body.vhdl ./usr/lib/ghdl/src/std/v08/textio.vhdl ./usr/lib/ghdl/src/std/v08/standard.vhdl ./usr/lib/ghdl/src/std/env-body.vhdl ./usr/lib/ghdl/src/ieee/math_complex.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_bit-body.vhdl ./usr/lib/ghdl/src/ieee/v87/std_logic_1164-body.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_std.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_bit.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_std-body.vhdl ./usr/lib/ghdl/src/ieee/v87/std_logic_1164.vhdl ./usr/lib/ghdl/src/ieee/math_complex-body.vhdl ./usr/lib/ghdl/src/ieee/math_real-body.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_bit-body.vhdl ./usr/lib/ghdl/src/ieee/v93/std_logic_1164-body.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_std.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_bit.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_std-body.vhdl ./usr/lib/ghdl/src/ieee/v93/std_logic_1164.vhdl ./usr/lib/ghdl/src/ieee/math_real.vhdl ./usr/lib/ghdl/llvm/vhdl/grt.lst ./usr/lib/ghdl/llvm/vhdl/grt.ver ./usr/lib/ghdl/llvm/vhdl/vendors/compile-lattice.sh ./usr/lib/ghdl/llvm/vhdl/vendors/shared.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-ise.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-altera.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-intel.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-vivado.sh ./usr/lib/ghdl/llvm/vhdl/vendors/shared.psm1 ./usr/lib/ghdl/llvm/vhdl/vendors/config.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-osvvm.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-lattice.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-osvvm.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/filter.analyze.sh ./usr/lib/ghdl/llvm/vhdl/vendors/config.psm1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-uvvm.sh ./usr/lib/ghdl/llvm/vhdl/vendors/README.md ./usr/lib/ghdl/llvm/vhdl/vendors/compile-uvvm.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-ise.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-intel.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-altera.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-vivado.ps1 ./usr/lib/ghdl/llvm/vhdl/grt-exec.lst ./usr/lib/ghdl/llvm/vhdl/grt-shared.lst ./usr/lib/ghdl/llvm/vhdl/ansi_color.sh ./usr/lib/ghdl/llvm/vhdl/libgrt.a ./usr/lib/ghdl/llvm/vhdl/std/v87/textio-body.o ./usr/lib/ghdl/llvm/vhdl/std/v87/textio.o ./usr/lib/ghdl/llvm/vhdl/std/v87/std_standard.o ./usr/lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf ./usr/lib/ghdl/llvm/vhdl/std/v93/textio-body.o ./usr/lib/ghdl/llvm/vhdl/std/v93/textio.o ./usr/lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf ./usr/lib/ghdl/llvm/vhdl/std/v93/std_standard.o ./usr/lib/ghdl/llvm/vhdl/std/v08/textio-body.o ./usr/lib/ghdl/llvm/vhdl/std/v08/textio.o ./usr/lib/ghdl/llvm/vhdl/std/v08/std_standard.o ./usr/lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf ./usr/lib/ghdl/llvm/vhdl/std/v08/env.o ./usr/lib/ghdl/llvm/vhdl/std/v08/env-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_arith.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_textio.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_signed.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_arith.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_textio.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_signed.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_bit_context.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_std_context.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_arith.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_textio.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_float_types.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_signed.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std-body.o ./usr/lib/ghdl/llvm/vhdl/src ./usr/lib/ghdl/llvm/ghdl1-llvm ./usr/lib/ghdl/llvm/libghdl.link ./usr/lib/ghdl/llvm/libghdlvpi.so ./usr/lib/ghdl/llvm/libghw.so ./usr/bin/ghdl ./usr/bin/ghdl-gcc ./usr/bin/ghwdump ./usr/bin/ghdl-llvm make[1]: Leaving directory '/<>' dh_install -a debian/rules override_dh_installdocs make[1]: Entering directory '/<>' dh_installdocs -pghdl -pghdl-mcode -pghdl-llvm -pghdl-gcc --link-doc=ghdl-common dh_installdocs --remaining-packages make[1]: Leaving directory '/<>' dh_installchangelogs -a dh_installman -a dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a dh_compress -a dh_fixperms -a dh_missing -a debian/rules override_dh_dwz make[1]: Entering directory '/<>' # dwz currently has problems with some debug sections created by the # current LLVM compiler and would cause this step to fail. #dh_dwz -a -Nghdl-llvm # Furthermore it appears to have problems with something in # libghdlvpi.so and runs into assertions, so disable it altogether for # now. make[1]: Leaving directory '/<>' debian/rules override_dh_strip make[1]: Entering directory '/<>' dh_strip -N libghdl-4-0-0 5e26d86b868b5bc3a63896ed8832098cc4451918 935125381fd0d588ac15ddd50ed630c1b9d6c8f9 f686b4f745d58e6d42e65ecc90ed00282173ed2b 1756c01bb9d780d73170d51b5c039f512353a3b2 36bfdf2541e83f567d1bf9a961915609cf4cfae0 21b56ae6381ba3c4b50ddef008d9bb52ce02f8fd 8026cbf8ad80381f5f6facc9de6c18f9a544d060 d6695f9e1267e24fcd06a011af15be8043bc7a6e dh_strip -p libghdl-4-0-0 --dbgsym-migration='libghdl-2-0-0' fdcf0a00c37e215180d840abf2c4327b92f1f1b6 make[1]: Leaving directory '/<>' dh_makeshlibs -a dh_shlibdeps -a dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld64.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld64.so.1.usr-is-merged dh_installdeb -a debian/rules override_dh_gencontrol make[1]: Entering directory '/<>' dh_gencontrol -- -VBuilt-Using-GCC="gcc-13 (= 13.2.0-21ubuntu1)" dpkg-gencontrol: warning: Depends field of package ghdl-common: substitution variable ${shlibs:Depends} used, but is not defined make[1]: Leaving directory '/<>' dh_md5sums -a dh_builddeb -a INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-gcc-dbgsym (in debian/.debhelper/ghdl-gcc/dbgsym-root); do_strip: , oemstrip: pkgstriptranslations: processing ghdl-tools (in debian/ghdl-tools); do_strip: , oemstrip: pkgstriptranslations: processing ghdl (in debian/ghdl); do_strip: , oemstrip: pkgstriptranslations: processing libghdl-4-0-0-dbgsym (in debian/.debhelper/libghdl-4-0-0/dbgsym-root); do_strip: , oemstrip: pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/ghdl-tools/DEBIAN/control, package ghdl-tools, directory debian/ghdl-tools INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgstripfiles: processing control file: debian/.debhelper/libghdl-4-0-0/dbgsym-root/DEBIAN/control, package libghdl-4-0-0-dbgsym, directory debian/.debhelper/libghdl-4-0-0/dbgsym-root dpkg-deb: building package 'libghdl-4-0-0-dbgsym' in 'debian/.debhelper/scratch-space/build-libghdl-4-0-0/libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb'. Renaming libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb to libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb INFO: pkgstriptranslations version 154 pkgstriptranslations: processing libghdl-dev (in debian/libghdl-dev); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/libghdl-dev/DEBIAN/control, package libghdl-dev, directory debian/libghdl-dev INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/ghdl/DEBIAN/control, package ghdl, directory debian/ghdl pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl' in '../ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-common (in debian/ghdl-common); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/ghdl-common/DEBIAN/control, package ghdl-common, directory debian/ghdl-common pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-common ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-common' in '../ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-gcc (in debian/ghdl-gcc); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/ghdl-gcc/DEBIAN/control, package ghdl-gcc, directory debian/ghdl-gcc pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-gcc ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/.debhelper/ghdl-gcc/dbgsym-root/DEBIAN/control, package ghdl-gcc-dbgsym, directory debian/.debhelper/ghdl-gcc/dbgsym-root dpkg-deb: building package 'ghdl-gcc-dbgsym' in 'debian/.debhelper/scratch-space/build-ghdl-gcc/ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... Renaming ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb to ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-llvm (in debian/ghdl-llvm); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/ghdl-llvm/DEBIAN/control, package ghdl-llvm, directory debian/ghdl-llvm pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-llvm ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-llvm' in '../ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-tools ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-tools' in '../ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-tools-dbgsym (in debian/.debhelper/ghdl-tools/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/.debhelper/ghdl-tools/dbgsym-root/DEBIAN/control, package ghdl-tools-dbgsym, directory debian/.debhelper/ghdl-tools/dbgsym-root dpkg-deb: building package 'ghdl-tools-dbgsym' in 'debian/.debhelper/scratch-space/build-ghdl-tools/ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb'. Renaming ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb to ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb INFO: pkgstriptranslations version 154 pkgstriptranslations: processing libghdl-4-0-0 (in debian/libghdl-4-0-0); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/libghdl-4-0-0/DEBIAN/control, package libghdl-4-0-0, directory debian/libghdl-4-0-0 pkgstripfiles: Running PNG optimization (using 4 cpus) for package libghdl-4-0-0 ... pkgstripfiles: No PNG files. dpkg-deb: building package 'libghdl-4-0-0' in '../libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb'. Searching for duplicated docs in dependency libghdl-4-0-0... symlinking changelog.Debian.gz in libghdl-dev to file in libghdl-4-0-0 pkgstripfiles: Running PNG optimization (using 4 cpus) for package libghdl-dev ... pkgstripfiles: No PNG files. dpkg-deb: building package 'libghdl-dev' in '../libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb'. INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-llvm-dbgsym (in debian/.debhelper/ghdl-llvm/dbgsym-root); do_strip: , oemstrip: pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/.debhelper/ghdl-llvm/dbgsym-root/DEBIAN/control, package ghdl-llvm-dbgsym, directory debian/.debhelper/ghdl-llvm/dbgsym-root dpkg-deb: building package 'ghdl-llvm-dbgsym' in 'debian/.debhelper/scratch-space/build-ghdl-llvm/ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb'. Renaming ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.deb to ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb dpkg-genbuildinfo --build=any -O../ghdl_4.0.0+dfsg-0ubuntu2_s390x.buildinfo dpkg-genchanges --build=any -mLaunchpad Build Daemon -O../ghdl_4.0.0+dfsg-0ubuntu2_s390x.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2024-03-25T14:22:46Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ ghdl_4.0.0+dfsg-0ubuntu2_s390x.changes: --------------------------------------- Format: 1.8 Date: Sun, 24 Mar 2024 17:24:45 +0100 Source: ghdl Binary: ghdl ghdl-common ghdl-gcc ghdl-llvm ghdl-tools libghdl-4-0-0 libghdl-dev Built-For-Profiles: noudeb Architecture: s390x Version: 4.0.0+dfsg-0ubuntu2 Distribution: noble-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Matthias Klose Description: ghdl - VHDL compiler/simulator ghdl-common - VHDL compiler/simulator (common files) ghdl-gcc - VHDL compiler/simulator (GCC backend) ghdl-llvm - VHDL compiler/simulator (LLVM backend) ghdl-tools - VHDL compiler/simulator (tools) libghdl-4-0-0 - VHDL compiler/simulator (shared library) libghdl-dev - VHDL compiler/simulator (library development files) Changes: ghdl (4.0.0+dfsg-0ubuntu2) noble; urgency=medium . * Build on s390x. Checksums-Sha1: 0bdc321a24ee6b357eb40ee028aa6698e13f5916 166656 ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb 1f0939ac614ab2ce93d4b93f9f38a12cb43447fe 97260986 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 440d1261e69018a3f18bb4a04af012f53424380b 12315050 ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb 0518ce5061e51ff03b3ed8de7053f6c8db6af2ed 10437160 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 9bb673605d7892f3bb7e64b71387414ec10457bd 3573188 ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb 4e7548b6780e92b01a163aba202e49b2de1933e6 45550 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb de595438787137503a0d61e15d2532dc59b1e1da 30948 ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb ecb9017f55256e80501c9efe0c31dfa30790df32 11703 ghdl_4.0.0+dfsg-0ubuntu2_s390x.buildinfo 2e0574fbd8e058f5e6efe70e7bb8b5b25de4ec59 1536 ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb 4de77fee32ac55fb7c1902365622a809dc6d7fe4 3958638 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 7a296b99f33ee96f4e624580e1eecd76e5c320bc 1738368 libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb c2c410417ca67cde343259154fec165554cffc6d 1996600 libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb Checksums-Sha256: dfabb7ec8db163847de8c798b1c2700c808ca5faca71abb50c1edc4690ebc608 166656 ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb ad469e5431e88908fa0713a810f33391e62ae64d0417f3cb5a472fa96f8a6020 97260986 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb b64dca87ada0ef7e635404a2966c1382638f655e2654f14da2e74e8ef766f0cf 12315050 ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb a68f89cc1d07c68e646c747d5b483586d0582f0c6c0597e9f556162935e41801 10437160 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 0af14f01bed8dd5deacc558273f29e4c7ba1320ed43af15abd5ceaa15ce84379 3573188 ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb 34006ebd614196d697d9aadc51cd695eb5486deff1acf28c24aa396795f5ec24 45550 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 545bba2632dc50ffd5b096cc728f5aa974986854eccf812c72731b04dc482a03 30948 ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb 3aa1bc489db3e9cf3efc2bac38f65404863fd245f7b36808ab9ff1cc03dff7e5 11703 ghdl_4.0.0+dfsg-0ubuntu2_s390x.buildinfo da0bcc31e85221e084fa367888d8a72ca97adeee02fa65c3d3527a768fcaf044 1536 ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb 94c9389813caf790f36b4b65e96d3284aeb26110bd58c1d1dc64576150021de7 3958638 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb f36fc992eec81f65c2d8ac61f26a800e370e3a22264a07badd09707f23d5d9fe 1738368 libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb c5cf3e5567bed9fb2f4f1595bae0ed65dfd62e71596b5f9ab7ad3d844a9ff891 1996600 libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb Files: 464e8fd15edeafc9b84f9d36b7f383e8 166656 electronics optional ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb d9273fbaf0b0c04746f75d684cd3e413 97260986 debug optional ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb e8c94d6cfe872c12819ab3d551fea249 12315050 electronics optional ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb 5899488f97937f12032ef8aac8a0ab0e 10437160 debug optional ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 2e8b27c9ad3433ed2e8e7ffb64b4275b 3573188 electronics optional ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb 1d8feb2985bf0029ffe3cc518b4de263 45550 debug optional ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 1a6c5b96fde65fec6112a22e86e8865f 30948 electronics optional ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb 6875bb63d0d3248e6f812bd0d6866217 11703 electronics optional ghdl_4.0.0+dfsg-0ubuntu2_s390x.buildinfo 85a4797930a07c07dfd685d94d91caae 1536 electronics optional ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb d92b48ed6079984deb2e504054062191 3958638 debug optional libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb ac7c1280e93c37899787a22d8a596469 1738368 electronics optional libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb 51646b72bbb7e60e8559dfe18db719c3 1996600 electronics optional libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb Original-Maintainer: Debian Electronics Team /<>/ghdl_4.0.0+dfsg-0ubuntu2_s390x.changes.new could not be renamed to /<>/ghdl_4.0.0+dfsg-0ubuntu2_s390x.changes: Illegal seek Distribution field may be wrong!!! +------------------------------------------------------------------------------+ | Buildinfo | +------------------------------------------------------------------------------+ Format: 1.0 Source: ghdl Binary: ghdl ghdl-common ghdl-gcc ghdl-gcc-dbgsym ghdl-llvm ghdl-llvm-dbgsym ghdl-tools ghdl-tools-dbgsym libghdl-4-0-0 libghdl-4-0-0-dbgsym libghdl-dev Architecture: s390x Version: 4.0.0+dfsg-0ubuntu2 Checksums-Md5: 464e8fd15edeafc9b84f9d36b7f383e8 166656 ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb d9273fbaf0b0c04746f75d684cd3e413 97260986 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb e8c94d6cfe872c12819ab3d551fea249 12315050 ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb 5899488f97937f12032ef8aac8a0ab0e 10437160 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 2e8b27c9ad3433ed2e8e7ffb64b4275b 3573188 ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb 1d8feb2985bf0029ffe3cc518b4de263 45550 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 1a6c5b96fde65fec6112a22e86e8865f 30948 ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb 85a4797930a07c07dfd685d94d91caae 1536 ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb d92b48ed6079984deb2e504054062191 3958638 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb ac7c1280e93c37899787a22d8a596469 1738368 libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb 51646b72bbb7e60e8559dfe18db719c3 1996600 libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb Checksums-Sha1: 0bdc321a24ee6b357eb40ee028aa6698e13f5916 166656 ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb 1f0939ac614ab2ce93d4b93f9f38a12cb43447fe 97260986 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 440d1261e69018a3f18bb4a04af012f53424380b 12315050 ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb 0518ce5061e51ff03b3ed8de7053f6c8db6af2ed 10437160 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 9bb673605d7892f3bb7e64b71387414ec10457bd 3573188 ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb 4e7548b6780e92b01a163aba202e49b2de1933e6 45550 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb de595438787137503a0d61e15d2532dc59b1e1da 30948 ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb 2e0574fbd8e058f5e6efe70e7bb8b5b25de4ec59 1536 ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb 4de77fee32ac55fb7c1902365622a809dc6d7fe4 3958638 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 7a296b99f33ee96f4e624580e1eecd76e5c320bc 1738368 libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb c2c410417ca67cde343259154fec165554cffc6d 1996600 libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb Checksums-Sha256: dfabb7ec8db163847de8c798b1c2700c808ca5faca71abb50c1edc4690ebc608 166656 ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb ad469e5431e88908fa0713a810f33391e62ae64d0417f3cb5a472fa96f8a6020 97260986 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb b64dca87ada0ef7e635404a2966c1382638f655e2654f14da2e74e8ef766f0cf 12315050 ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb a68f89cc1d07c68e646c747d5b483586d0582f0c6c0597e9f556162935e41801 10437160 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 0af14f01bed8dd5deacc558273f29e4c7ba1320ed43af15abd5ceaa15ce84379 3573188 ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb 34006ebd614196d697d9aadc51cd695eb5486deff1acf28c24aa396795f5ec24 45550 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb 545bba2632dc50ffd5b096cc728f5aa974986854eccf812c72731b04dc482a03 30948 ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb da0bcc31e85221e084fa367888d8a72ca97adeee02fa65c3d3527a768fcaf044 1536 ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb 94c9389813caf790f36b4b65e96d3284aeb26110bd58c1d1dc64576150021de7 3958638 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu2_s390x.ddeb f36fc992eec81f65c2d8ac61f26a800e370e3a22264a07badd09707f23d5d9fe 1738368 libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb c5cf3e5567bed9fb2f4f1595bae0ed65dfd62e71596b5f9ab7ad3d844a9ff891 1996600 libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb Build-Origin: Ubuntu Build-Architecture: s390x Build-Date: Mon, 25 Mar 2024 14:22:44 +0000 Build-Path: /<> Build-Tainted-By: merged-usr-via-aliased-dirs usr-local-has-programs Installed-Build-Depends: autoconf (= 2.71-3), autoconf2.69 (= 2.69-3.1), automake (= 1:1.16.5-1.3ubuntu1), autopoint (= 0.21-14ubuntu1), autotools-dev (= 20220109.1), base-files (= 13ubuntu7), base-passwd (= 3.6.3), bash (= 5.2.21-2ubuntu2), binutils (= 2.42-4ubuntu1), binutils-common (= 2.42-4ubuntu1), binutils-s390x-linux-gnu (= 2.42-4ubuntu1), bsdextrautils (= 2.39.3-9ubuntu2), bsdutils (= 1:2.39.3-9ubuntu2), build-essential (= 12.10ubuntu1), bzip2 (= 1.0.8-5ubuntu1), clang (= 1:18.0-59~exp1), clang-18 (= 1:18.1.2-1ubuntu2), coreutils (= 9.4-3ubuntu3), cpp (= 4:13.2.0-7ubuntu1), cpp-13 (= 13.2.0-21ubuntu1), cpp-13-s390x-linux-gnu (= 13.2.0-21ubuntu1), cpp-s390x-linux-gnu (= 4:13.2.0-7ubuntu1), dash (= 0.5.12-6ubuntu4), debconf (= 1.5.86), debhelper (= 13.14.1ubuntu5), debianutils (= 5.17), debugedit (= 1:5.0-5build1), dh-ada-library (= 9.3), dh-autoreconf (= 20), dh-strip-nondeterminism (= 1.13.1-1), diffstat (= 1.66-1), diffutils (= 1:3.10-1), dpkg (= 1.22.6ubuntu4), dpkg-dev (= 1.22.6ubuntu4), dwz (= 0.15-1build5), ed (= 1.20.1-1), file (= 1:5.45-3), findutils (= 4.9.0-5), g++ (= 4:13.2.0-7ubuntu1), g++-13 (= 13.2.0-21ubuntu1), g++-13-s390x-linux-gnu (= 13.2.0-21ubuntu1), g++-s390x-linux-gnu (= 4:13.2.0-7ubuntu1), gawk (= 1:5.2.1-2build2), gcc (= 4:13.2.0-7ubuntu1), gcc-13 (= 13.2.0-21ubuntu1), gcc-13-base (= 13.2.0-21ubuntu1), gcc-13-s390x-linux-gnu (= 13.2.0-21ubuntu1), gcc-13-source (= 13.2.0-21ubuntu1), gcc-14-base (= 14-20240315-1ubuntu1), gcc-s390x-linux-gnu (= 4:13.2.0-7ubuntu1), gettext (= 0.21-14ubuntu1), gettext-base (= 0.21-14ubuntu1), gnat (= 13.2ubuntu1), gnat-13 (= 13.2.0-21ubuntu1), gnat-13-s390x-linux-gnu (= 13.2.0-21ubuntu1), grep (= 3.11-4), groff-base (= 1.23.0-3build1), gzip (= 1.12-1ubuntu2), hostname (= 3.23+nmu2ubuntu1), icu-devtools (= 74.2-1ubuntu1), init-system-helpers (= 1.66ubuntu1), intltool-debian (= 0.35.0+20060710.6), libacl1 (= 2.3.2-1), libarchive-zip-perl (= 1.68-1), libasan8 (= 14-20240315-1ubuntu1), libatomic1 (= 14-20240315-1ubuntu1), libattr1 (= 1:2.5.2-1), libaudit-common (= 1:3.1.2-2.1), libaudit1 (= 1:3.1.2-2.1), libbinutils (= 2.42-4ubuntu1), libblkid1 (= 2.39.3-9ubuntu2), libbrotli1 (= 1.1.0-2build1), libbsd0 (= 0.12.1-1), libbz2-1.0 (= 1.0.8-5ubuntu1), libc-bin (= 2.39-0ubuntu6), libc-dev-bin (= 2.39-0ubuntu6), libc6 (= 2.39-0ubuntu6), libc6-dev (= 2.39-0ubuntu6), libcap-ng0 (= 0.8.4-2build1), libcap2 (= 1:2.66-5ubuntu1), libcc1-0 (= 14-20240315-1ubuntu1), libclang-common-18-dev (= 1:18.1.2-1ubuntu2), libclang-cpp18 (= 1:18.1.2-1ubuntu2), libclang1-18 (= 1:18.1.2-1ubuntu2), libcom-err2 (= 1.47.0-2.4~exp1ubuntu2), libcrypt-dev (= 1:4.4.36-4), libcrypt1 (= 1:4.4.36-4), libctf-nobfd0 (= 2.42-4ubuntu1), libctf0 (= 2.42-4ubuntu1), libcurl4t64 (= 8.5.0-2ubuntu8), libdb5.3t64 (= 5.3.28+dfsg2-6), libdebconfclient0 (= 0.271ubuntu2), libdebhelper-perl (= 13.14.1ubuntu5), libdpkg-perl (= 1.22.6ubuntu4), libdw1t64 (= 0.190-1.1build2), libedit2 (= 3.1-20230828-1), libelf1t64 (= 0.190-1.1build2), libexpat1 (= 2.6.1-2), libffi-dev (= 3.4.6-1), libffi8 (= 3.4.6-1), libfile-stripnondeterminism-perl (= 1.13.1-1), libgc1 (= 1:8.2.6-1), libgcc-13-dev (= 13.2.0-21ubuntu1), libgcc-s1 (= 14-20240315-1ubuntu1), libgcrypt20 (= 1.10.3-2), libgdbm-compat4t64 (= 1.23-5.1), libgdbm6t64 (= 1.23-5.1), libgmp-dev (= 2:6.3.0+dfsg-2ubuntu4), libgmp10 (= 2:6.3.0+dfsg-2ubuntu4), libgmpxx4ldbl (= 2:6.3.0+dfsg-2ubuntu4), libgnat-13 (= 13.2.0-21ubuntu1), libgnutls30t64 (= 3.8.3-1.1ubuntu2), libgomp1 (= 14-20240315-1ubuntu1), libgpg-error0 (= 1.47-3build1), libgssapi-krb5-2 (= 1.20.1-6ubuntu1), libhogweed6t64 (= 3.9.1-2.2), libicu-dev (= 74.2-1ubuntu1), libicu74 (= 74.2-1ubuntu1), libidn2-0 (= 2.3.7-2), libisl-dev (= 0.26-3), libisl23 (= 0.26-3), libitm1 (= 14-20240315-1ubuntu1), libjansson4 (= 2.14-2), libk5crypto3 (= 1.20.1-6ubuntu1), libkeyutils1 (= 1.6.3-3), libkrb5-3 (= 1.20.1-6ubuntu1), libkrb5support0 (= 1.20.1-6ubuntu1), libldap2 (= 2.6.7+dfsg-1~exp1ubuntu6), libllvm18 (= 1:18.1.2-1ubuntu2), liblz4-1 (= 1.9.4-1), liblzma5 (= 5.6.0-0.2), libmagic-mgc (= 1:5.45-3), libmagic1t64 (= 1:5.45-3), libmd0 (= 1.1.0-2), libmount1 (= 2.39.3-9ubuntu2), libmpc-dev (= 1.3.1-1), libmpc3 (= 1.3.1-1), libmpfr-dev (= 4.2.1-1), libmpfr6 (= 4.2.1-1), libncurses-dev (= 6.4+20240113-1ubuntu1), libncurses6 (= 6.4+20240113-1ubuntu1), libncursesw6 (= 6.4+20240113-1ubuntu1), libnettle8t64 (= 3.9.1-2.2), libnghttp2-14 (= 1.59.0-1build1), libobjc-13-dev (= 13.2.0-21ubuntu1), libobjc4 (= 14-20240315-1ubuntu1), libp11-kit0 (= 0.25.3-4ubuntu1), libpam-modules (= 1.5.3-5ubuntu3), libpam-modules-bin (= 1.5.3-5ubuntu3), libpam-runtime (= 1.5.3-5ubuntu3), libpam0g (= 1.5.3-5ubuntu3), libpcre2-8-0 (= 10.42-4ubuntu1), libperl5.38t64 (= 5.38.2-3.2), libpfm4 (= 4.13.0+git32-g0d4ed0e-1), libpipeline1 (= 1.5.7-1), libpsl5t64 (= 0.21.2-1.1), libpython3-stdlib (= 3.12.2-0ubuntu1), libpython3.12-minimal (= 3.12.2-4build3), libpython3.12-stdlib (= 3.12.2-4build3), libreadline8t64 (= 8.2-4), librtmp1 (= 2.4+20151223.gitfa8646d.1-2build6), libsasl2-2 (= 2.1.28+dfsg1-5ubuntu1), libsasl2-modules-db (= 2.1.28+dfsg1-5ubuntu1), libseccomp2 (= 2.5.5-1ubuntu2), libselinux1 (= 3.5-2ubuntu1), libsframe1 (= 2.42-4ubuntu1), libsigsegv2 (= 2.14-1ubuntu1), libsmartcols1 (= 2.39.3-9ubuntu2), libsqlite3-0 (= 3.45.1-1ubuntu1), libssh-4 (= 0.10.6-2build1), libssl3t64 (= 3.0.13-0ubuntu2), libstdc++-13-dev (= 13.2.0-21ubuntu1), libstdc++6 (= 14-20240315-1ubuntu1), libsub-override-perl (= 0.10-1), libsystemd0 (= 255.4-1ubuntu5), libtasn1-6 (= 4.19.0-3), libtext-unidecode-perl (= 1.30-3), libtinfo6 (= 6.4+20240113-1ubuntu1), libtool (= 2.4.7-7), libubsan1 (= 14-20240315-1ubuntu1), libuchardet0 (= 0.0.8-1), libudev1 (= 255.4-1ubuntu5), libunistring5 (= 1.1-2), libuuid1 (= 2.39.3-9ubuntu2), libxml-libxml-perl (= 2.0207+dfsg+really+2.0134-1build3), libxml-namespacesupport-perl (= 1.12-2), libxml-sax-base-perl (= 1.09-3), libxml-sax-perl (= 1.02+dfsg-3), libxml2 (= 2.9.14+dfsg-1.3ubuntu2), libxml2-dev (= 2.9.14+dfsg-1.3ubuntu2), libyaml-0-2 (= 0.2.5-1), libz3-4 (= 4.8.12-3.1), libz3-dev (= 4.8.12-3.1), libzstd1 (= 1.5.5+dfsg2-2), linux-libc-dev (= 6.8.0-20.20), llvm (= 1:18.0-59~exp1), llvm-18 (= 1:18.1.2-1ubuntu2), llvm-18-dev (= 1:18.1.2-1ubuntu2), llvm-18-linker-tools (= 1:18.1.2-1ubuntu2), llvm-18-runtime (= 1:18.1.2-1ubuntu2), llvm-18-tools (= 1:18.1.2-1ubuntu2), llvm-dev (= 1:18.0-59~exp1), llvm-runtime (= 1:18.0-59~exp1), login (= 1:4.13+dfsg1-4ubuntu1), lsb-release (= 12.0-2), lto-disabled-list (= 47), m4 (= 1.4.19-4), make (= 4.3-4.1build1), man-db (= 2.12.0-3build4), mawk (= 1.3.4.20240123-1), media-types (= 10.1.0), ncurses-base (= 6.4+20240113-1ubuntu1), ncurses-bin (= 6.4+20240113-1ubuntu1), netbase (= 6.4), patch (= 2.7.6-7build2), patchutils (= 0.4.2-1build2), perl (= 5.38.2-3.2), perl-base (= 5.38.2-3.2), perl-modules-5.38 (= 5.38.2-3.2), po-debconf (= 1.0.21+nmu1), python3 (= 3.12.2-0ubuntu1), python3-minimal (= 3.12.2-0ubuntu1), python3-pkg-resources (= 68.1.2-2ubuntu1), python3-pygments (= 2.17.2+dfsg-1), python3-yaml (= 6.0.1-2build1), python3.12 (= 3.12.2-4build3), python3.12-minimal (= 3.12.2-4build3), quilt (= 0.67+really0.67-4), readline-common (= 8.2-4), rpcsvc-proto (= 1.4.2-0ubuntu6), sed (= 4.9-2), sensible-utils (= 0.0.22), sharutils (= 1:4.15.2-9), sysvinit-utils (= 3.08-6ubuntu2), tar (= 1.35+dfsg-3), tex-common (= 6.18), texinfo (= 7.1-3build1), texinfo-lib (= 7.1-3build1), time (= 1.9-0.2), tzdata (= 2024a-1ubuntu1), ucf (= 3.0043+nmu1), util-linux (= 2.39.3-9ubuntu2), xz-utils (= 5.6.0-0.2), zlib1g (= 1:1.3.dfsg-3.1ubuntu1), zlib1g-dev (= 1:1.3.dfsg-3.1ubuntu1) Environment: DEB_BUILD_OPTIONS="parallel=4" DEB_BUILD_PROFILES="noudeb" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1711297485" +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ ghdl-common_4.0.0+dfsg-0ubuntu2_s390x.deb ----------------------------------------- new Debian package, version 2.0. size 166656 bytes: control archive=2362 bytes. 795 bytes, 19 lines control 5380 bytes, 69 lines md5sums Package: ghdl-common Source: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 2430 Breaks: ghdl (<< 0.37+dfsg2) Replaces: ghdl (<< 0.37+dfsg2) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (common files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains common files for the GHDL compiler packages. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/bin/ -rwxr-xr-x root/root 393 2023-09-30 23:16 ./usr/bin/ghdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/include/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/include/ghdl/ -rw-r--r-- root/root 36958 2024-03-06 20:14 ./usr/lib/ghdl/include/ghdl/vhpi_user.h -rw-r--r-- root/root 45550 2024-03-06 20:14 ./usr/lib/ghdl/include/ghdl/vpi_user.h drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/ -rw-r--r-- root/root 52648 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/math_complex-body.vhdl -rw-r--r-- root/root 34106 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/math_complex.vhdl -rw-r--r-- root/root 64760 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/math_real-body.vhdl -rw-r--r-- root/root 21134 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/math_real.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/ -rw-r--r-- root/root 57337 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/numeric_bit-body.vhdl -rw-r--r-- root/root 32919 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/numeric_bit.vhdl -rw-r--r-- root/root 83364 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/numeric_std-body.vhdl -rw-r--r-- root/root 34334 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/numeric_std.vhdl -rw-r--r-- root/root 32350 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164-body.vhdl -rw-r--r-- root/root 9402 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/ -rw-r--r-- root/root 57057 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/numeric_bit-body.vhdl -rw-r--r-- root/root 32879 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/numeric_bit.vhdl -rw-r--r-- root/root 83082 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/numeric_std-body.vhdl -rw-r--r-- root/root 34294 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/numeric_std.vhdl -rw-r--r-- root/root 32260 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164-body.vhdl -rw-r--r-- root/root 9390 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/ -rw-r--r-- root/root 2614 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/fixed_float_types.vhdl -rw-r--r-- root/root 216320 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl -rw-r--r-- root/root 66781 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl -rw-r--r-- root/root 2249 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/fixed_pkg.vhdl -rw-r--r-- root/root 230768 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl -rw-r--r-- root/root 51264 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg.vhdl -rw-r--r-- root/root 2542 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/float_pkg.vhdl -rw-r--r-- root/root 102 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/ieee_bit_context.vhdl -rw-r--r-- root/root 133 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/ieee_std_context.vhdl -rw-r--r-- root/root 52648 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/math_complex-body.vhdl -rw-r--r-- root/root 34106 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/math_complex.vhdl -rw-r--r-- root/root 64760 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/math_real-body.vhdl -rw-r--r-- root/root 21134 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/math_real.vhdl -rw-r--r-- root/root 93982 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_bit-body.vhdl -rw-r--r-- root/root 63541 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_bit.vhdl -rw-r--r-- root/root 17135 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl -rw-r--r-- root/root 25675 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl -rw-r--r-- root/root 139714 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_std-body.vhdl -rw-r--r-- root/root 75853 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_std.vhdl -rw-r--r-- root/root 18190 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl -rw-r--r-- root/root 25976 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl -rw-r--r-- root/root 57019 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl -rw-r--r-- root/root 14971 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/std_logic_1164.vhdl -rw-r--r-- root/root 62 2024-03-24 16:24 ./usr/lib/ghdl/src/ieee2008/std_logic_textio.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/std/ -rw-r--r-- root/root 2129 2024-03-24 16:24 ./usr/lib/ghdl/src/std/env-body.vhdl -rw-r--r-- root/root 1009 2024-03-24 16:24 ./usr/lib/ghdl/src/std/env.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v08/ -rw-r--r-- root/root 2697 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v08/standard.vhdl -rw-r--r-- root/root 41995 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v08/textio-body.vhdl -rw-r--r-- root/root 7000 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v08/textio.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v87/ -rw-r--r-- root/root 1544 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v87/standard.vhdl -rw-r--r-- root/root 42701 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v87/textio-body.vhdl -rw-r--r-- root/root 7088 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v87/textio.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v93/ -rw-r--r-- root/root 2461 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v93/standard.vhdl -rw-r--r-- root/root 42669 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v93/textio-body.vhdl -rw-r--r-- root/root 7088 2024-03-24 16:24 ./usr/lib/ghdl/src/std/v93/textio.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/ -rw-r--r-- root/root 70557 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/std_logic_arith.vhdl -rw-r--r-- root/root 27741 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/std_logic_misc-body.vhdl -rw-r--r-- root/root 6037 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/std_logic_misc.vhdl -rw-r--r-- root/root 12622 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/std_logic_signed.vhdl -rw-r--r-- root/root 17971 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/std_logic_textio.vhdl -rw-r--r-- root/root 12038 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/std_logic_unsigned.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/v08/ -rw-r--r-- root/root 27997 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc-body.vhdl -rw-r--r-- root/root 6077 2024-03-24 16:24 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/src/upf/ -rw-r--r-- root/root 483 2024-03-24 16:24 ./usr/lib/ghdl/src/upf/upf-body.vhdl -rw-r--r-- root/root 388 2024-03-24 16:24 ./usr/lib/ghdl/src/upf/upf.vhdl drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ghdl-common/ -rw-r--r-- root/root 8530 2024-03-06 20:14 ./usr/share/doc/ghdl-common/NEWS.md.gz -rw-r--r-- root/root 3560 2024-03-06 20:14 ./usr/share/doc/ghdl-common/README.md.gz -rw-r--r-- root/root 4662 2024-03-24 16:24 ./usr/share/doc/ghdl-common/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/ghdl-common/copyright drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/man1/ -rw-r--r-- root/root 1929 2024-03-24 16:24 ./usr/share/man/man1/ghdl.1.gz ghdl-gcc_4.0.0+dfsg-0ubuntu2_s390x.deb -------------------------------------- new Debian package, version 2.0. size 12315050 bytes: control archive=3057 bytes. 976 bytes, 19 lines control 7254 bytes, 91 lines md5sums 204 bytes, 5 lines * postinst #!/bin/sh 204 bytes, 5 lines * postrm #!/bin/sh 204 bytes, 5 lines * preinst #!/bin/sh 204 bytes, 5 lines * prerm #!/bin/sh Package: ghdl-gcc Source: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 41933 Depends: ghdl-common (= 4.0.0+dfsg-0ubuntu2), libc6 (>= 2.38), libgmp10 (>= 2:6.3.0+dfsg), libgnat-13 (>= 13.2.0), libisl23 (>= 0.15), libmpc3 (>= 1.1.0), libmpfr6 (>= 3.1.3), zlib1g (>= 1:1.1.4), gcc, zlib1g-dev Built-Using: gcc-13 (= 13.2.0-21ubuntu1) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (GCC backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the GCC backend. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/bin/ -rwxr-xr-x root/root 4695704 2024-03-24 16:24 ./usr/bin/ghdl-gcc drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libexec/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libexec/gcc/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/ -rwxr-xr-x root/root 29815576 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libexec/gcc/s390x-linux-gnu/13/ghdl1 -rw-r--r-- root/root 14032 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libghdlvpi.so -rw-r--r-- root/root 38840 2024-03-24 16:24 ./usr/lib/ghdl/gcc/libghw.so drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ -rw-r--r-- root/root 83 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/grt-exec.lst -rw-r--r-- root/root 53 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/grt-shared.lst -rw-r--r-- root/root 46 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/grt.lst -rw-r--r-- root/root 926 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/grt.ver drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ -rw-r--r-- root/root 4848 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_float_types.o -rw-r--r-- root/root 941464 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg-body.o -rw-r--r-- root/root 3888 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg.o -rw-r--r-- root/root 2048 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_pkg.o -rw-r--r-- root/root 869816 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg-body.o -rw-r--r-- root/root 7600 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg.o -rw-r--r-- root/root 2440 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_pkg.o -rw-r--r-- root/root 5340 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf -rw-r--r-- root/root 1120 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_bit_context.o -rw-r--r-- root/root 1120 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_std_context.o -rw-r--r-- root/root 85640 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex-body.o -rw-r--r-- root/root 8272 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex.o -rw-r--r-- root/root 72544 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real-body.o -rw-r--r-- root/root 10344 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real.o -rw-r--r-- root/root 371616 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit-body.o -rw-r--r-- root/root 19152 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit.o -rw-r--r-- root/root 63768 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned-body.o -rw-r--r-- root/root 3376 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned.o -rw-r--r-- root/root 545904 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std-body.o -rw-r--r-- root/root 8400 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std.o -rw-r--r-- root/root 61624 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned-body.o -rw-r--r-- root/root 3584 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned.o -rw-r--r-- root/root 189720 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164-body.o -rw-r--r-- root/root 7608 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164.o -rw-r--r-- root/root 227288 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_arith.o -rw-r--r-- root/root 39256 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc-body.o -rw-r--r-- root/root 5752 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc.o -rw-r--r-- root/root 39408 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_signed.o -rw-r--r-- root/root 2264 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_textio.o -rw-r--r-- root/root 36488 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_unsigned.o -rw-r--r-- root/root 2864 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf-body.o -rw-r--r-- root/root 2152 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ -rw-r--r-- root/root 2522 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf -rw-r--r-- root/root 233816 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit-body.o -rw-r--r-- root/root 13616 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit.o -rw-r--r-- root/root 367696 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std-body.o -rw-r--r-- root/root 6752 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std.o -rw-r--r-- root/root 85496 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164-body.o -rw-r--r-- root/root 8752 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164.o -rw-r--r-- root/root 224696 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_arith.o -rw-r--r-- root/root 55464 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc-body.o -rw-r--r-- root/root 5744 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc.o -rw-r--r-- root/root 39408 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_signed.o -rw-r--r-- root/root 87296 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_textio.o -rw-r--r-- root/root 36488 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_unsigned.o -rw-r--r-- root/root 2864 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf-body.o -rw-r--r-- root/root 2152 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ -rw-r--r-- root/root 3156 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf -rw-r--r-- root/root 85640 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex-body.o -rw-r--r-- root/root 8272 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex.o -rw-r--r-- root/root 72632 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real-body.o -rw-r--r-- root/root 10344 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real.o -rw-r--r-- root/root 246600 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit-body.o -rw-r--r-- root/root 18968 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit.o -rw-r--r-- root/root 380488 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std-body.o -rw-r--r-- root/root 6752 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std.o -rw-r--r-- root/root 91936 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164-body.o -rw-r--r-- root/root 8752 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164.o -rw-r--r-- root/root 227360 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_arith.o -rw-r--r-- root/root 55464 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc-body.o -rw-r--r-- root/root 5744 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc.o -rw-r--r-- root/root 39408 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_signed.o -rw-r--r-- root/root 87624 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_textio.o -rw-r--r-- root/root 36488 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_unsigned.o -rw-r--r-- root/root 2864 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf-body.o -rw-r--r-- root/root 2152 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf.o -rw-r--r-- root/root 124586 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/libbacktrace.a -rw-r--r-- root/root 1488140 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/libgrt.a lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/src -> ../../src drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/ -rw-r--r-- root/root 4000 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/env-body.o -rw-r--r-- root/root 2152 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/env.o -rw-r--r-- root/root 590 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf -rw-r--r-- root/root 57304 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o -rw-r--r-- root/root 126872 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio-body.o -rw-r--r-- root/root 5232 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v87/ -rw-r--r-- root/root 307 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf -rw-r--r-- root/root 28096 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o -rw-r--r-- root/root 91560 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio-body.o -rw-r--r-- root/root 5216 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v93/ -rw-r--r-- root/root 307 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf -rw-r--r-- root/root 45592 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o -rw-r--r-- root/root 94016 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio-body.o -rw-r--r-- root/root 5232 2024-03-24 16:24 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/share/doc/ghdl-gcc -> ghdl-common drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/lintian/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 159 2023-09-30 23:16 ./usr/share/lintian/overrides/ghdl-gcc drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/man1/ lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/share/man/man1/ghdl-gcc.1.gz -> ghdl.1.gz ghdl-llvm_4.0.0+dfsg-0ubuntu2_s390x.deb --------------------------------------- new Debian package, version 2.0. size 3573188 bytes: control archive=3096 bytes. 879 bytes, 18 lines control 7185 bytes, 89 lines md5sums 205 bytes, 5 lines * postinst #!/bin/sh 205 bytes, 5 lines * postrm #!/bin/sh 205 bytes, 5 lines * preinst #!/bin/sh 205 bytes, 5 lines * prerm #!/bin/sh Package: ghdl-llvm Source: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 18316 Depends: ghdl-common (= 4.0.0+dfsg-0ubuntu2), libc6 (>= 2.38), libgcc-s1 (>= 4.2), libgnat-13 (>= 13.2.0), libllvm18, libstdc++6 (>= 11), gcc, zlib1g-dev Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (LLVM backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the LLVM backend. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/bin/ -rwxr-xr-x root/root 5712360 2024-03-24 16:24 ./usr/bin/ghdl-llvm drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/ -rwxr-xr-x root/root 5576248 2024-03-24 16:24 ./usr/lib/ghdl/llvm/ghdl1-llvm -rw-r--r-- root/root 14032 2024-03-24 16:24 ./usr/lib/ghdl/llvm/libghdlvpi.so drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ -rw-r--r-- root/root 83 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/grt-exec.lst -rw-r--r-- root/root 53 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/grt-shared.lst -rw-r--r-- root/root 29 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/grt.lst -rw-r--r-- root/root 926 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/grt.ver drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ -rw-r--r-- root/root 5824 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_float_types.o -rw-r--r-- root/root 797352 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg-body.o -rw-r--r-- root/root 3304 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg.o -rw-r--r-- root/root 2976 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_pkg.o -rw-r--r-- root/root 697448 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg-body.o -rw-r--r-- root/root 7088 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg.o -rw-r--r-- root/root 3184 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_pkg.o -rw-r--r-- root/root 5340 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf -rw-r--r-- root/root 640 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_bit_context.o -rw-r--r-- root/root 640 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_std_context.o -rw-r--r-- root/root 95872 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex-body.o -rw-r--r-- root/root 9256 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex.o -rw-r--r-- root/root 90888 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real-body.o -rw-r--r-- root/root 11432 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real.o -rw-r--r-- root/root 319352 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit-body.o -rw-r--r-- root/root 20216 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit.o -rw-r--r-- root/root 57112 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned-body.o -rw-r--r-- root/root 4360 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned.o -rw-r--r-- root/root 456056 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std-body.o -rw-r--r-- root/root 9768 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std.o -rw-r--r-- root/root 55480 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned-body.o -rw-r--r-- root/root 4544 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned.o -rw-r--r-- root/root 166520 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164-body.o -rw-r--r-- root/root 8776 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164.o -rw-r--r-- root/root 198392 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_arith.o -rw-r--r-- root/root 42352 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc-body.o -rw-r--r-- root/root 6840 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc.o -rw-r--r-- root/root 34776 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_signed.o -rw-r--r-- root/root 3368 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_textio.o -rw-r--r-- root/root 32520 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_unsigned.o -rw-r--r-- root/root 3936 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf-body.o -rw-r--r-- root/root 3208 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ -rw-r--r-- root/root 2522 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf -rw-r--r-- root/root 200048 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit-body.o -rw-r--r-- root/root 14824 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit.o -rw-r--r-- root/root 301704 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std-body.o -rw-r--r-- root/root 7928 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std.o -rw-r--r-- root/root 77864 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164-body.o -rw-r--r-- root/root 10136 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164.o -rw-r--r-- root/root 195720 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_arith.o -rw-r--r-- root/root 56664 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc-body.o -rw-r--r-- root/root 6808 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc.o -rw-r--r-- root/root 34776 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_signed.o -rw-r--r-- root/root 78328 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_textio.o -rw-r--r-- root/root 32520 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_unsigned.o -rw-r--r-- root/root 3936 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf-body.o -rw-r--r-- root/root 3208 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ -rw-r--r-- root/root 3156 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf -rw-r--r-- root/root 95864 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex-body.o -rw-r--r-- root/root 9248 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex.o -rw-r--r-- root/root 90792 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real-body.o -rw-r--r-- root/root 11416 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real.o -rw-r--r-- root/root 210584 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit-body.o -rw-r--r-- root/root 20064 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit.o -rw-r--r-- root/root 312240 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std-body.o -rw-r--r-- root/root 7928 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std.o -rw-r--r-- root/root 83400 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164-body.o -rw-r--r-- root/root 10136 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164.o -rw-r--r-- root/root 198464 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_arith.o -rw-r--r-- root/root 56664 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc-body.o -rw-r--r-- root/root 6808 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc.o -rw-r--r-- root/root 34776 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_signed.o -rw-r--r-- root/root 78408 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_textio.o -rw-r--r-- root/root 32520 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_unsigned.o -rw-r--r-- root/root 3936 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf-body.o -rw-r--r-- root/root 3208 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf.o -rw-r--r-- root/root 1486814 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/libgrt.a lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/src -> ../../src drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/ -rw-r--r-- root/root 5416 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/env-body.o -rw-r--r-- root/root 3200 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/env.o -rw-r--r-- root/root 590 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf -rw-r--r-- root/root 58808 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/std_standard.o -rw-r--r-- root/root 108864 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio-body.o -rw-r--r-- root/root 6080 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v87/ -rw-r--r-- root/root 307 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf -rw-r--r-- root/root 29088 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v87/std_standard.o -rw-r--r-- root/root 81496 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio-body.o -rw-r--r-- root/root 6080 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v93/ -rw-r--r-- root/root 307 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf -rw-r--r-- root/root 46552 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v93/std_standard.o -rw-r--r-- root/root 83288 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio-body.o -rw-r--r-- root/root 6080 2024-03-24 16:24 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio.o drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/share/doc/ghdl-llvm -> ghdl-common drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/lintian/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 67 2023-09-30 23:16 ./usr/share/lintian/overrides/ghdl-llvm drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/man1/ lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/share/man/man1/ghdl-llvm.1.gz -> ghdl.1.gz ghdl-tools_4.0.0+dfsg-0ubuntu2_s390x.deb ---------------------------------------- new Debian package, version 2.0. size 30948 bytes: control archive=918 bytes. 1044 bytes, 23 lines control 264 bytes, 4 lines md5sums Package: ghdl-tools Source: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 87 Depends: libc6 (>= 2.34) Breaks: gtkwave (<< 3.3.110~) Replaces: gtkwave (<< 3.3.110~) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (tools) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains ghwdump, a simple command line tool to extract information from GHW files produced by GHDL and display it as text. It is mainly intended for use in debugging and is not needed for regular use of GHDL, where a wavefile visualization tool like gtkwave would normally be used. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/bin/ -rwxr-xr-x root/root 39000 2024-03-24 16:24 ./usr/bin/ghwdump drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ghdl-tools/ -rw-r--r-- root/root 4662 2024-03-24 16:24 ./usr/share/doc/ghdl-tools/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/ghdl-tools/copyright drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/man/man1/ -rw-r--r-- root/root 415 2024-03-24 16:24 ./usr/share/man/man1/ghwdump.1.gz ghdl_4.0.0+dfsg-0ubuntu2_s390x.deb ---------------------------------- new Debian package, version 2.0. size 1536 bytes: control archive=1200 bytes. 1681 bytes, 33 lines control 0 bytes, 0 lines md5sums 200 bytes, 5 lines * postinst #!/bin/sh 200 bytes, 5 lines * postrm #!/bin/sh 200 bytes, 5 lines * preinst #!/bin/sh 200 bytes, 5 lines * prerm #!/bin/sh Package: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 10 Depends: ghdl-common (= 4.0.0+dfsg-0ubuntu2), ghdl-gcc | ghdl-llvm Suggests: gtkwave Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations. . Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl. . This package is a dependency package that will make sure at least one backend is installed. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/share/doc/ghdl -> ghdl-common libghdl-4-0-0_4.0.0+dfsg-0ubuntu2_s390x.deb ------------------------------------------- new Debian package, version 2.0. size 1738368 bytes: control archive=906 bytes. 866 bytes, 20 lines control 229 bytes, 3 lines md5sums 44 bytes, 1 lines shlibs 75 bytes, 2 lines triggers Package: libghdl-4-0-0 Source: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 5551 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.0), libgnat-13 (>= 13.2.0) Built-Using: gcc-13 (= 13.2.0-21ubuntu1) Section: electronics Priority: optional Multi-Arch: same Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (shared library) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the shared library to access GHDL internals. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/s390x-linux-gnu/ -rw-r--r-- root/root 5634872 2024-03-24 16:24 ./usr/lib/s390x-linux-gnu/libghdl-4_0_0.so drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/libghdl-4-0-0/ -rw-r--r-- root/root 4665 2024-03-24 16:24 ./usr/share/doc/libghdl-4-0-0/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/libghdl-4-0-0/copyright libghdl-dev_4.0.0+dfsg-0ubuntu2_s390x.deb ----------------------------------------- new Debian package, version 2.0. size 1996600 bytes: control archive=816 bytes. 863 bytes, 20 lines control 262 bytes, 4 lines md5sums Package: libghdl-dev Source: ghdl Version: 4.0.0+dfsg-0ubuntu2 Architecture: s390x Maintainer: Ubuntu Developers Installed-Size: 11746 Depends: libghdl-4-0-0 (= 4.0.0+dfsg-0ubuntu2) Built-Using: gcc-13 (= 13.2.0-21ubuntu1) Section: electronics Priority: optional Multi-Arch: same Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (library development files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the files required to compile programs using libghdl. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-03-24 16:24 ./ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/include/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/include/ghdl/ -rw-r--r-- root/root 7204 2024-03-06 20:14 ./usr/include/ghdl/synth.h -rw-r--r-- root/root 2391 2024-03-06 20:14 ./usr/include/ghdl/synth_gates.h drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/lib/s390x-linux-gnu/ -rw-r--r-- root/root 11965674 2024-03-24 16:24 ./usr/lib/s390x-linux-gnu/libghdl.a lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/lib/s390x-linux-gnu/libghdl.so -> libghdl-4_0_0.so drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-03-24 16:24 ./usr/share/doc/libghdl-dev/ lrwxrwxrwx root/root 0 2024-03-24 16:24 ./usr/share/doc/libghdl-dev/changelog.Debian.gz -> ../libghdl-4-0-0/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/libghdl-dev/copyright +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: s390x Build Type: any Build-Space: 7738252 Build-Time: 1100 Distribution: noble-proposed Host Architecture: s390x Install-Time: 67 Job: ghdl_4.0.0+dfsg-0ubuntu2.dsc Machine Architecture: s390x Package: ghdl Package-Time: 1168 Source-Version: 4.0.0+dfsg-0ubuntu2 Space: 7738252 Status: successful Version: 4.0.0+dfsg-0ubuntu2 -------------------------------------------------------------------------------- Finished at 2024-03-25T14:22:46Z Build needed 00:19:28, 7738252k disk space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=noble --arch=s390x PACKAGEBUILD-27959377 Scanning for processes to kill in build PACKAGEBUILD-27959377