https://launchpad.net/ubuntu/+source/ghdl/4.0.0+dfsg-0ubuntu5/+build/28041381 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux bos01-arm64-005 5.4.0-173-generic #191-Ubuntu SMP Fri Feb 2 13:54:37 UTC 2024 aarch64 Buildd toolchain package versions: launchpad-buildd_236~650~ubuntu20.04.1 python3-lpbuildd_236~650~ubuntu20.04.1 sbuild_0.79.0-1ubuntu1 git-build-recipe_0.3.6 git_1:2.25.1-1ubuntu3.11 dpkg-dev_1.19.7ubuntu3.2 python3-debian_0.1.36ubuntu1.1. Syncing the system clock with the buildd NTP service... 9 Apr 07:40:41 ntpdate[24233]: adjust time server 10.211.37.1 offset -0.002096 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=noble --arch=arm64 PACKAGEBUILD-28041381 --image-type chroot /home/buildd/filecache-default/0aaa0d191106d33e51979a9c6a062e50b7f5b3b2 Creating target for build PACKAGEBUILD-28041381 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=noble --arch=arm64 PACKAGEBUILD-28041381 Starting target for build PACKAGEBUILD-28041381 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=noble --arch=arm64 PACKAGEBUILD-28041381 'deb http://ftpmaster.internal/ubuntu noble main universe' 'deb http://ftpmaster.internal/ubuntu noble-security main universe' 'deb http://ftpmaster.internal/ubuntu noble-updates main universe' 'deb http://ftpmaster.internal/ubuntu noble-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-28041381 RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=noble --arch=arm64 PACKAGEBUILD-28041381 Updating target for build PACKAGEBUILD-28041381 Get:1 http://ftpmaster.internal/ubuntu noble InRelease [255 kB] Get:2 http://ftpmaster.internal/ubuntu noble-security InRelease [90.7 kB] Get:3 http://ftpmaster.internal/ubuntu noble-updates InRelease [89.7 kB] Get:4 http://ftpmaster.internal/ubuntu noble-proposed InRelease [117 kB] Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 Packages [1392 kB] Get:6 http://ftpmaster.internal/ubuntu noble/main Translation-en [512 kB] Get:7 http://ftpmaster.internal/ubuntu noble/universe arm64 Packages [15.1 MB] Get:8 http://ftpmaster.internal/ubuntu noble/universe Translation-en [6081 kB] Get:9 http://ftpmaster.internal/ubuntu noble-updates/main arm64 Packages [17.6 kB] Get:10 http://ftpmaster.internal/ubuntu noble-updates/main Translation-en [5416 B] Get:11 http://ftpmaster.internal/ubuntu noble-updates/universe arm64 Packages [531 kB] Get:12 http://ftpmaster.internal/ubuntu noble-updates/universe Translation-en [198 kB] Get:13 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 Packages [356 kB] Get:14 http://ftpmaster.internal/ubuntu noble-proposed/main Translation-en [145 kB] Get:15 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 Packages [910 kB] Get:16 http://ftpmaster.internal/ubuntu noble-proposed/universe Translation-en [333 kB] Fetched 26.1 MB in 5s (5716 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: libnsl-dev libperl5.36 libtirpc-dev libunistring2 perl-modules-5.36 Use 'sudo apt autoremove' to remove them. The following packages will be REMOVED: libapt-pkg6.0* libdb5.3* libext2fs2* libgdbm-compat4* libgdbm6* libgnutls30* libhogweed6* libnettle8* libnpth0* libpng16-16* libreadline8* libssl3* libtirpc3* usrmerge* The following NEW packages will be installed: cpp-13-aarch64-linux-gnu cpp-aarch64-linux-gnu g++-13-aarch64-linux-gnu g++-aarch64-linux-gnu gcc-13-aarch64-linux-gnu gcc-14-base gcc-aarch64-linux-gnu libapt-pkg6.0t64 libdb5.3t64 libext2fs2t64 libgdbm-compat4t64 libgdbm6t64 libgnutls30t64 libhogweed6t64 libnettle8t64 libnpth0t64 libperl5.38t64 libpng16-16t64 libreadline8t64 libssl3t64 libtirpc3t64 libunistring5 perl-modules-5.38 The following packages will be upgraded: advancecomp apt apt-utils base-files base-passwd bash bash-completion binutils binutils-aarch64-linux-gnu binutils-common bsdextrautils bsdutils build-essential bzip2 ca-certificates coreutils cpp cpp-13 dash debconf debconf-i18n debianutils diffutils dpkg dpkg-dev e2fsprogs fakeroot findutils g++ g++-13 gcc gcc-13 gcc-13-base gpg gpg-agent gpgconf gpgv grep gzip hostname init init-system-helpers krb5-locales libacl1 libapparmor1 libargon2-1 libasan8 libassuan0 libatomic1 libattr1 libaudit-common libaudit1 libbinutils libblkid1 libbz2-1.0 libc-bin libc-dev-bin libc6 libc6-dev libcap-ng0 libcap2 libcc1-0 libcom-err2 libcrypt-dev libcrypt1 libcryptsetup12 libctf-nobfd0 libctf0 libdebconfclient0 libdevmapper1.02.1 libdpkg-perl libfakeroot libfdisk1 libffi8 libgcc-13-dev libgcc-s1 libgcrypt20 libgmp10 libgomp1 libgpg-error-l10n libgpg-error0 libgpm2 libgprofng0 libgssapi-krb5-2 libhwasan0 libidn2-0 libip4tc2 libisl23 libitm1 libjansson4 libjson-c5 libk5crypto3 libkeyutils1 libkmod2 libkrb5-3 libkrb5support0 liblocale-gettext-perl liblockfile-bin liblockfile1 liblsan0 liblz4-1 liblzma5 libmd0 libmount1 libmpc3 libmpfr6 libncursesw6 libnsl-dev libnsl2 libnss-nisplus libp11-kit0 libpam-modules libpam-modules-bin libpam-runtime libpam0g libpcre2-8-0 libproc2-0 libseccomp2 libselinux1 libsemanage-common libsemanage2 libsepol2 libsframe1 libsmartcols1 libsqlite3-0 libss2 libstdc++-13-dev libstdc++6 libsystemd-shared libsystemd0 libtasn1-6 libtext-charwidth-perl libtext-iconv-perl libtinfo6 libtirpc-common libtirpc-dev libtsan2 libubsan1 libudev1 libuuid1 libxxhash0 libzstd1 linux-libc-dev lockfile-progs login logsave lto-disabled-list make mawk mount ncurses-base ncurses-bin openssl optipng passwd patch perl perl-base pinentry-curses procps psmisc readline-common rpcsvc-proto sed sensible-utils systemd systemd-dev systemd-sysv sysvinit-utils tar tzdata ubuntu-keyring util-linux uuid-runtime xz-utils zlib1g 176 upgraded, 23 newly installed, 14 to remove and 0 not upgraded. Need to get 116 MB of archives. After this operation, 77.4 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu noble/main arm64 libnsl-dev arm64 1.3.0-3build3 [72.5 kB] Get:2 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libcrypt-dev arm64 1:4.4.36-4build1 [118 kB] Get:3 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 rpcsvc-proto arm64 1.4.2-0ubuntu7 [64.8 kB] Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 libc6-dev arm64 2.39-0ubuntu8 [1596 kB] Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 libc-dev-bin arm64 2.39-0ubuntu8 [19.7 kB] Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 libtirpc-common all 1.3.4+ds-1.1build1 [8094 B] Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 libtirpc-dev arm64 1.3.4+ds-1.1build1 [201 kB] Get:8 http://ftpmaster.internal/ubuntu noble/universe arm64 libnss-nisplus arm64 1.3-5build1 [22.5 kB] Get:9 http://ftpmaster.internal/ubuntu noble/main arm64 libtirpc3t64 arm64 1.3.4+ds-1.1build1 [83.6 kB] Get:10 http://ftpmaster.internal/ubuntu noble/main arm64 libgssapi-krb5-2 arm64 1.20.1-6ubuntu2 [141 kB] Get:11 http://ftpmaster.internal/ubuntu noble/main arm64 libkrb5-3 arm64 1.20.1-6ubuntu2 [349 kB] Get:12 http://ftpmaster.internal/ubuntu noble/main arm64 libk5crypto3 arm64 1.20.1-6ubuntu2 [85.6 kB] Get:13 http://ftpmaster.internal/ubuntu noble/main arm64 libkrb5support0 arm64 1.20.1-6ubuntu2 [33.9 kB] Get:14 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libacl1 arm64 2.3.2-1build1 [17.0 kB] Get:15 http://ftpmaster.internal/ubuntu noble/main arm64 libapparmor1 arm64 4.0.0-beta3-0ubuntu3 [50.0 kB] Get:16 http://ftpmaster.internal/ubuntu noble/main arm64 libaudit-common all 1:3.1.2-2.1build1 [5736 B] Get:17 http://ftpmaster.internal/ubuntu noble/main arm64 libcap-ng0 arm64 0.8.4-2build2 [14.8 kB] Get:18 http://ftpmaster.internal/ubuntu noble/main arm64 libaudit1 arm64 1:3.1.2-2.1build1 [47.6 kB] Get:19 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libblkid1 arm64 2.39.3-9ubuntu5 [123 kB] Get:20 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libcap2 arm64 1:2.66-5ubuntu2 [30.2 kB] Get:21 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libcrypt1 arm64 1:4.4.36-4build1 [85.9 kB] Get:22 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgpg-error-l10n all 1.47-3build2 [8064 B] Get:23 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgpg-error0 arm64 1.47-3build2 [70.0 kB] Get:24 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgcrypt20 arm64 1.10.3-2build1 [472 kB] Get:25 http://ftpmaster.internal/ubuntu noble/main arm64 liblzma5 arm64 5.6.1+really5.4.5-1 [125 kB] Get:26 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libzstd1 arm64 1.5.5+dfsg2-2build1 [271 kB] Get:27 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libkmod2 arm64 31+20240202-2ubuntu6 [51.7 kB] Get:28 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 liblz4-1 arm64 1.9.4-1build1 [64.1 kB] Get:29 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libpcre2-8-0 arm64 10.42-4ubuntu2 [212 kB] Get:30 http://ftpmaster.internal/ubuntu noble/main arm64 libselinux1 arm64 3.5-2ubuntu2 [79.9 kB] Get:31 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libmount1 arm64 2.39.3-9ubuntu5 [133 kB] Get:32 http://ftpmaster.internal/ubuntu noble/main arm64 perl-modules-5.38 all 5.38.2-3.2build2 [3110 kB] Get:33 http://ftpmaster.internal/ubuntu noble/main arm64 libdb5.3t64 arm64 5.3.28+dfsg2-6build1 [721 kB] Get:34 http://ftpmaster.internal/ubuntu noble/main arm64 libgdbm6t64 arm64 1.23-5.1build1 [34.4 kB] Get:35 http://ftpmaster.internal/ubuntu noble/main arm64 libgdbm-compat4t64 arm64 1.23-5.1build1 [6578 B] Get:36 http://ftpmaster.internal/ubuntu noble/main arm64 libperl5.38t64 arm64 5.38.2-3.2build2 [4774 kB] Get:37 http://ftpmaster.internal/ubuntu noble/main arm64 perl arm64 5.38.2-3.2build2 [231 kB] Get:38 http://ftpmaster.internal/ubuntu noble/main arm64 perl-base arm64 5.38.2-3.2build2 [1777 kB] Get:39 http://ftpmaster.internal/ubuntu noble/main arm64 liblocale-gettext-perl arm64 1.07-6ubuntu5 [15.3 kB] Get:40 http://ftpmaster.internal/ubuntu noble/main arm64 libtext-iconv-perl arm64 1.7-8build3 [13.1 kB] Get:41 http://ftpmaster.internal/ubuntu noble/main arm64 libtext-charwidth-perl arm64 0.04-11build3 [9406 B] Get:42 http://ftpmaster.internal/ubuntu noble/main arm64 libc6 arm64 2.39-0ubuntu8 [2774 kB] Get:43 http://ftpmaster.internal/ubuntu noble/main arm64 libc-bin arm64 2.39-0ubuntu8 [591 kB] Get:44 http://ftpmaster.internal/ubuntu noble/main arm64 openssl arm64 3.0.13-0ubuntu3 [984 kB] Get:45 http://ftpmaster.internal/ubuntu noble/main arm64 libsystemd-shared arm64 255.4-1ubuntu7 [2016 kB] Get:46 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libcryptsetup12 arm64 2:2.7.0-1ubuntu4 [262 kB] Get:47 http://ftpmaster.internal/ubuntu noble/main arm64 libssl3t64 arm64 3.0.13-0ubuntu3 [1793 kB] Get:48 http://ftpmaster.internal/ubuntu noble/main arm64 systemd-dev all 255.4-1ubuntu7 [104 kB] Get:49 http://ftpmaster.internal/ubuntu noble/main arm64 systemd-sysv arm64 255.4-1ubuntu7 [11.9 kB] Get:50 http://ftpmaster.internal/ubuntu noble/main arm64 systemd arm64 255.4-1ubuntu7 [3403 kB] Get:51 http://ftpmaster.internal/ubuntu noble/main arm64 libsystemd0 arm64 255.4-1ubuntu7 [424 kB] Get:52 http://ftpmaster.internal/ubuntu noble/main arm64 libpam-modules-bin arm64 1.5.3-5ubuntu4 [50.5 kB] Get:53 http://ftpmaster.internal/ubuntu noble/main arm64 libpam-modules arm64 1.5.3-5ubuntu4 [278 kB] Get:54 http://ftpmaster.internal/ubuntu noble/main arm64 libnettle8t64 arm64 3.9.1-2.2build1 [192 kB] Get:55 http://ftpmaster.internal/ubuntu noble/main arm64 libhogweed6t64 arm64 3.9.1-2.2build1 [199 kB] Get:56 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libp11-kit0 arm64 0.25.3-4ubuntu2 [276 kB] Get:57 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libunistring5 arm64 1.1-2build1 [530 kB] Get:58 http://ftpmaster.internal/ubuntu noble/main arm64 libgnutls30t64 arm64 3.8.3-1.1ubuntu3 [942 kB] Get:59 http://ftpmaster.internal/ubuntu noble/main arm64 libapt-pkg6.0t64 arm64 2.7.14build2 [935 kB] Get:60 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 bzip2 arm64 1.0.8-5.1 [34.0 kB] Get:61 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libbz2-1.0 arm64 1.0.8-5.1 [35.7 kB] Get:62 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-14-base arm64 14-20240330-1ubuntu2 [47.5 kB] Get:63 http://ftpmaster.internal/ubuntu noble/main arm64 libgcc-s1 arm64 14-20240330-1ubuntu2 [50.4 kB] Get:64 http://ftpmaster.internal/ubuntu noble/main arm64 libstdc++6 arm64 14-20240330-1ubuntu2 [748 kB] Get:65 http://ftpmaster.internal/ubuntu noble/main arm64 libudev1 arm64 255.4-1ubuntu7 [173 kB] Get:66 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libxxhash0 arm64 0.8.2-2build1 [21.7 kB] Get:67 http://ftpmaster.internal/ubuntu noble/main arm64 zlib1g arm64 1:1.3.dfsg-3.1ubuntu2 [61.7 kB] Get:68 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgmp10 arm64 2:6.3.0+dfsg-2ubuntu5 [243 kB] Get:69 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libffi8 arm64 3.4.6-1build1 [22.7 kB] Get:70 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libidn2-0 arm64 2.3.7-2build1 [67.2 kB] Get:71 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libtasn1-6 arm64 4.19.0-3build1 [43.1 kB] Get:72 http://ftpmaster.internal/ubuntu noble/main arm64 libdebconfclient0 arm64 0.271ubuntu3 [11.3 kB] Get:73 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 base-passwd arm64 3.6.3build1 [51.1 kB] Get:74 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libassuan0 arm64 2.5.6-1build1 [36.8 kB] Get:75 http://ftpmaster.internal/ubuntu noble/main arm64 libsqlite3-0 arm64 3.45.1-1ubuntu2 [703 kB] Get:76 http://ftpmaster.internal/ubuntu noble/main arm64 gpg arm64 2.4.4-2ubuntu17 [548 kB] Get:77 http://ftpmaster.internal/ubuntu noble/main arm64 libreadline8t64 arm64 8.2-4build1 [153 kB] Get:78 http://ftpmaster.internal/ubuntu noble/main arm64 readline-common all 8.2-4build1 [56.5 kB] Get:79 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libncursesw6 arm64 6.4+20240113-1ubuntu2 [145 kB] Get:80 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libtinfo6 arm64 6.4+20240113-1ubuntu2 [104 kB] Get:81 http://ftpmaster.internal/ubuntu noble/main arm64 gpg-agent arm64 2.4.4-2ubuntu17 [221 kB] Get:82 http://ftpmaster.internal/ubuntu noble/main arm64 gpgconf arm64 2.4.4-2ubuntu17 [103 kB] Get:83 http://ftpmaster.internal/ubuntu noble/main arm64 pinentry-curses arm64 1.2.1-3ubuntu5 [34.3 kB] Get:84 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 init-system-helpers all 1.66ubuntu2 [38.9 kB] Get:85 http://ftpmaster.internal/ubuntu noble/main arm64 libnpth0t64 arm64 1.6-3.1build1 [8144 B] Get:86 http://ftpmaster.internal/ubuntu noble/main arm64 gpgv arm64 2.4.4-2ubuntu17 [150 kB] Get:87 http://ftpmaster.internal/ubuntu noble/main arm64 ubuntu-keyring all 2023.11.28.1 [11.1 kB] Get:88 http://ftpmaster.internal/ubuntu noble/main arm64 libseccomp2 arm64 2.5.5-1ubuntu3 [51.1 kB] Get:89 http://ftpmaster.internal/ubuntu noble/main arm64 apt-utils arm64 2.7.14build2 [205 kB] Get:90 http://ftpmaster.internal/ubuntu noble/main arm64 apt arm64 2.7.14build2 [1336 kB] Get:91 http://ftpmaster.internal/ubuntu noble/main arm64 debconf-i18n all 1.5.86 [205 kB] Get:92 http://ftpmaster.internal/ubuntu noble/main arm64 debconf all 1.5.86 [124 kB] Get:93 http://ftpmaster.internal/ubuntu noble/main arm64 libpam0g arm64 1.5.3-5ubuntu4 [67.7 kB] Get:94 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libargon2-1 arm64 0~20190702+dfsg-4build1 [20.5 kB] Get:95 http://ftpmaster.internal/ubuntu noble/main arm64 libdevmapper1.02.1 arm64 2:1.02.185-3ubuntu3 [128 kB] Get:96 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libjson-c5 arm64 0.17-1build1 [36.4 kB] Get:97 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libuuid1 arm64 2.39.3-9ubuntu5 [35.3 kB] Get:98 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libfdisk1 arm64 2.39.3-9ubuntu5 [142 kB] Get:99 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 mount arm64 2.39.3-9ubuntu5 [116 kB] Get:100 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libcom-err2 arm64 1.47.0-2.4~exp1ubuntu4 [22.4 kB] Get:101 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libkeyutils1 arm64 1.6.3-3build1 [9654 B] Get:102 http://ftpmaster.internal/ubuntu noble/main arm64 libnsl2 arm64 1.3.0-3build3 [41.6 kB] Get:103 http://ftpmaster.internal/ubuntu noble/main arm64 linux-libc-dev arm64 6.8.0-22.22 [1571 kB] Get:104 http://ftpmaster.internal/ubuntu noble/main arm64 base-files arm64 13ubuntu9 [73.9 kB] Get:105 http://ftpmaster.internal/ubuntu noble/main arm64 debianutils arm64 5.17build1 [90.2 kB] Get:106 http://ftpmaster.internal/ubuntu noble/main arm64 bash arm64 5.2.21-2ubuntu4 [780 kB] Get:107 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 bsdutils arm64 1:2.39.3-9ubuntu5 [97.7 kB] Get:108 http://ftpmaster.internal/ubuntu noble/main arm64 coreutils arm64 9.4-3ubuntu6 [1363 kB] Get:109 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 tar arm64 1.35+dfsg-3build1 [248 kB] Get:110 http://ftpmaster.internal/ubuntu noble/main arm64 dpkg arm64 1.22.6ubuntu6 [1265 kB] Get:111 http://ftpmaster.internal/ubuntu noble/main arm64 dash arm64 0.5.12-6ubuntu5 [90.4 kB] Get:112 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 diffutils arm64 1:3.10-1build1 [175 kB] Get:113 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 findutils arm64 4.9.0-5build1 [300 kB] Get:114 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 grep arm64 3.11-4build1 [159 kB] Get:115 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 gzip arm64 1.12-1ubuntu3 [97.2 kB] Get:116 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 hostname arm64 3.23+nmu2ubuntu2 [11.0 kB] Get:117 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 login arm64 1:4.13+dfsg1-4ubuntu2 [200 kB] Get:118 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 ncurses-bin arm64 6.4+20240113-1ubuntu2 [186 kB] Get:119 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 sed arm64 4.9-2build1 [191 kB] Get:120 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 util-linux arm64 2.39.3-9ubuntu5 [1114 kB] Get:121 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 ncurses-base all 6.4+20240113-1ubuntu2 [25.5 kB] Get:122 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 sysvinit-utils arm64 3.08-6ubuntu3 [33.8 kB] Get:123 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 logsave arm64 1.47.0-2.4~exp1ubuntu4 [22.2 kB] Get:124 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libext2fs2t64 arm64 1.47.0-2.4~exp1ubuntu4 [225 kB] Get:125 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 e2fsprogs arm64 1.47.0-2.4~exp1ubuntu4 [594 kB] Get:126 http://ftpmaster.internal/ubuntu noble/main arm64 optipng arm64 0.7.8+ds-1build2 [109 kB] Get:127 http://ftpmaster.internal/ubuntu noble/main arm64 libpng16-16t64 arm64 1.6.43-5build1 [185 kB] Get:128 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 init arm64 1.66ubuntu2 [6268 B] Get:129 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libsmartcols1 arm64 2.39.3-9ubuntu5 [64.4 kB] Get:130 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 uuid-runtime arm64 2.39.3-9ubuntu5 [32.5 kB] Get:131 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libattr1 arm64 1:2.5.2-1build1 [11.0 kB] Get:132 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libmd0 arm64 1.1.0-2build1 [24.6 kB] Get:133 http://ftpmaster.internal/ubuntu noble/main arm64 libpam-runtime all 1.5.3-5ubuntu4 [40.8 kB] Get:134 http://ftpmaster.internal/ubuntu noble/main arm64 libsemanage-common all 3.5-1build5 [10.1 kB] Get:135 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libsepol2 arm64 3.5-2build1 [291 kB] Get:136 http://ftpmaster.internal/ubuntu noble/main arm64 libsemanage2 arm64 3.5-1build5 [90.8 kB] Get:137 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 passwd arm64 1:4.13+dfsg1-4ubuntu2 [838 kB] Get:138 http://ftpmaster.internal/ubuntu noble/main arm64 libproc2-0 arm64 2:4.0.4-4ubuntu3 [58.5 kB] Get:139 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libss2 arm64 1.47.0-2.4~exp1ubuntu4 [16.5 kB] Get:140 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 mawk arm64 1.3.4.20240123-1build1 [124 kB] Get:141 http://ftpmaster.internal/ubuntu noble/main arm64 procps arm64 2:4.0.4-4ubuntu3 [708 kB] Get:142 http://ftpmaster.internal/ubuntu noble/main arm64 sensible-utils all 0.0.22 [22.5 kB] Get:143 http://ftpmaster.internal/ubuntu noble/main arm64 ca-certificates all 20240203 [159 kB] Get:144 http://ftpmaster.internal/ubuntu noble/main arm64 krb5-locales all 1.20.1-6ubuntu2 [13.8 kB] Get:145 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 tzdata all 2024a-2ubuntu1 [273 kB] Get:146 http://ftpmaster.internal/ubuntu noble/main arm64 bash-completion all 1:2.11-8 [180 kB] Get:147 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 bsdextrautils arm64 2.39.3-9ubuntu5 [71.5 kB] Get:148 http://ftpmaster.internal/ubuntu noble/main arm64 libgpm2 arm64 1.20.7-11 [14.7 kB] Get:149 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libip4tc2 arm64 1.8.10-3ubuntu2 [23.4 kB] Get:150 http://ftpmaster.internal/ubuntu noble/main arm64 libjansson4 arm64 2.14-2build2 [34.4 kB] Get:151 http://ftpmaster.internal/ubuntu noble/main arm64 psmisc arm64 23.7-1build1 [178 kB] Get:152 http://ftpmaster.internal/ubuntu noble/main arm64 xz-utils arm64 5.6.1+really5.4.5-1 [268 kB] Get:153 http://ftpmaster.internal/ubuntu noble/main arm64 advancecomp arm64 2.5-1build1 [177 kB] Get:154 http://ftpmaster.internal/ubuntu noble/main arm64 libgprofng0 arm64 2.42-4ubuntu2 [777 kB] Get:155 http://ftpmaster.internal/ubuntu noble/main arm64 libctf0 arm64 2.42-4ubuntu2 [95.7 kB] Get:156 http://ftpmaster.internal/ubuntu noble/main arm64 libctf-nobfd0 arm64 2.42-4ubuntu2 [99.8 kB] Get:157 http://ftpmaster.internal/ubuntu noble/main arm64 binutils-aarch64-linux-gnu arm64 2.42-4ubuntu2 [3291 kB] Get:158 http://ftpmaster.internal/ubuntu noble/main arm64 libbinutils arm64 2.42-4ubuntu2 [767 kB] Get:159 http://ftpmaster.internal/ubuntu noble/main arm64 binutils arm64 2.42-4ubuntu2 [18.1 kB] Get:160 http://ftpmaster.internal/ubuntu noble/main arm64 binutils-common arm64 2.42-4ubuntu2 [239 kB] Get:161 http://ftpmaster.internal/ubuntu noble/main arm64 libsframe1 arm64 2.42-4ubuntu2 [14.4 kB] Get:162 http://ftpmaster.internal/ubuntu noble/main arm64 libubsan1 arm64 14-20240330-1ubuntu2 [1151 kB] Get:163 http://ftpmaster.internal/ubuntu noble/main arm64 g++-13 arm64 13.2.0-23ubuntu3 [14.5 kB] Get:164 http://ftpmaster.internal/ubuntu noble/main arm64 libstdc++-13-dev arm64 13.2.0-23ubuntu3 [2378 kB] Get:165 http://ftpmaster.internal/ubuntu noble/main arm64 libgomp1 arm64 14-20240330-1ubuntu2 [144 kB] Get:166 http://ftpmaster.internal/ubuntu noble/main arm64 libitm1 arm64 14-20240330-1ubuntu2 [27.9 kB] Get:167 http://ftpmaster.internal/ubuntu noble/main arm64 libatomic1 arm64 14-20240330-1ubuntu2 [11.4 kB] Get:168 http://ftpmaster.internal/ubuntu noble/main arm64 libasan8 arm64 14-20240330-1ubuntu2 [2920 kB] Get:169 http://ftpmaster.internal/ubuntu noble/main arm64 liblsan0 arm64 14-20240330-1ubuntu2 [1282 kB] Get:170 http://ftpmaster.internal/ubuntu noble/main arm64 libhwasan0 arm64 14-20240330-1ubuntu2 [1599 kB] Get:171 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-13 arm64 13.2.0-23ubuntu3 [469 kB] Get:172 http://ftpmaster.internal/ubuntu noble/main arm64 libgcc-13-dev arm64 13.2.0-23ubuntu3 [2468 kB] Get:173 http://ftpmaster.internal/ubuntu noble/main arm64 libtsan2 arm64 14-20240330-1ubuntu2 [2688 kB] Get:174 http://ftpmaster.internal/ubuntu noble/main arm64 libcc1-0 arm64 14-20240330-1ubuntu2 [44.7 kB] Get:175 http://ftpmaster.internal/ubuntu noble/main arm64 cpp-13 arm64 13.2.0-23ubuntu3 [1030 B] Get:176 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-13-base arm64 13.2.0-23ubuntu3 [48.7 kB] Get:177 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libisl23 arm64 0.26-3build1 [669 kB] Get:178 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libmpfr6 arm64 4.2.1-1build1 [329 kB] Get:179 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libmpc3 arm64 1.3.1-1build1 [56.4 kB] Get:180 http://ftpmaster.internal/ubuntu noble/main arm64 cpp-13-aarch64-linux-gnu arm64 13.2.0-23ubuntu3 [10.3 MB] Get:181 http://ftpmaster.internal/ubuntu noble/main arm64 gcc-13-aarch64-linux-gnu arm64 13.2.0-23ubuntu3 [20.1 MB] Get:182 http://ftpmaster.internal/ubuntu noble/main arm64 g++-13-aarch64-linux-gnu arm64 13.2.0-23ubuntu3 [11.7 MB] Get:183 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 g++ arm64 4:13.2.0-7ubuntu2 [1082 B] Get:184 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 gcc arm64 4:13.2.0-7ubuntu2 [5012 B] Get:185 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 cpp arm64 4:13.2.0-7ubuntu2 [22.4 kB] Get:186 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 cpp-aarch64-linux-gnu arm64 4:13.2.0-7ubuntu2 [5408 B] Get:187 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 gcc-aarch64-linux-gnu arm64 4:13.2.0-7ubuntu2 [1200 B] Get:188 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 g++-aarch64-linux-gnu arm64 4:13.2.0-7ubuntu2 [962 B] Get:189 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 make arm64 4.3-4.1build2 [178 kB] Get:190 http://ftpmaster.internal/ubuntu noble/main arm64 dpkg-dev all 1.22.6ubuntu6 [1074 kB] Get:191 http://ftpmaster.internal/ubuntu noble/main arm64 libdpkg-perl all 1.22.6ubuntu6 [268 kB] Get:192 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 patch arm64 2.7.6-7build3 [101 kB] Get:193 http://ftpmaster.internal/ubuntu noble/main arm64 lto-disabled-list all 47 [12.4 kB] Get:194 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 build-essential arm64 12.10ubuntu2 [5014 B] Get:195 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libfakeroot arm64 1.33-1build1 [32.6 kB] Get:196 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 fakeroot arm64 1.33-1build1 [66.9 kB] Get:197 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 liblockfile-bin arm64 1.17-1build3 [11.0 kB] Get:198 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 liblockfile1 arm64 1.17-1build3 [6874 B] Get:199 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 lockfile-progs arm64 0.1.19build2 [8440 B] Preconfiguring packages ... Fetched 116 MB in 5s (23.0 MB/s) (Reading database ... 13662 files and directories currently installed.) Preparing to unpack .../0-libnsl-dev_1.3.0-3build3_arm64.deb ... Unpacking libnsl-dev:arm64 (1.3.0-3build3) over (1.3.0-2build2) ... Preparing to unpack .../1-libcrypt-dev_1%3a4.4.36-4build1_arm64.deb ... Unpacking libcrypt-dev:arm64 (1:4.4.36-4build1) over (1:4.4.36-2) ... Preparing to unpack .../2-rpcsvc-proto_1.4.2-0ubuntu7_arm64.deb ... Unpacking rpcsvc-proto (1.4.2-0ubuntu7) over (1.4.2-0ubuntu6) ... Preparing to unpack .../3-libc6-dev_2.39-0ubuntu8_arm64.deb ... Unpacking libc6-dev:arm64 (2.39-0ubuntu8) over (2.38-1ubuntu6) ... Preparing to unpack .../4-libc-dev-bin_2.39-0ubuntu8_arm64.deb ... Unpacking libc-dev-bin (2.39-0ubuntu8) over (2.38-1ubuntu6) ... Preparing to unpack .../5-libtirpc-common_1.3.4+ds-1.1build1_all.deb ... Unpacking libtirpc-common (1.3.4+ds-1.1build1) over (1.3.3+ds-1) ... Preparing to unpack .../6-libtirpc-dev_1.3.4+ds-1.1build1_arm64.deb ... Unpacking libtirpc-dev:arm64 (1.3.4+ds-1.1build1) over (1.3.3+ds-1) ... Preparing to unpack .../7-libnss-nisplus_1.3-5build1_arm64.deb ... Unpacking libnss-nisplus:arm64 (1.3-5build1) over (1.3-0ubuntu6) ... dpkg: libtirpc3:arm64: dependency problems, but removing anyway as you requested: libnsl2:arm64 depends on libtirpc3 (>= 1.0.2). (Reading database ... 13665 files and directories currently installed.) Removing libtirpc3:arm64 (1.3.3+ds-1) ... Selecting previously unselected package libtirpc3t64:arm64. (Reading database ... 13659 files and directories currently installed.) Preparing to unpack .../0-libtirpc3t64_1.3.4+ds-1.1build1_arm64.deb ... Adding 'diversion of /lib/aarch64-linux-gnu/libtirpc.so.3 to /lib/aarch64-linux-gnu/libtirpc.so.3.usr-is-merged by libtirpc3t64' Adding 'diversion of /lib/aarch64-linux-gnu/libtirpc.so.3.0.0 to /lib/aarch64-linux-gnu/libtirpc.so.3.0.0.usr-is-merged by libtirpc3t64' Unpacking libtirpc3t64:arm64 (1.3.4+ds-1.1build1) ... Preparing to unpack .../1-libgssapi-krb5-2_1.20.1-6ubuntu2_arm64.deb ... Unpacking libgssapi-krb5-2:arm64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../2-libkrb5-3_1.20.1-6ubuntu2_arm64.deb ... Unpacking libkrb5-3:arm64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../3-libk5crypto3_1.20.1-6ubuntu2_arm64.deb ... Unpacking libk5crypto3:arm64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../4-libkrb5support0_1.20.1-6ubuntu2_arm64.deb ... Unpacking libkrb5support0:arm64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../5-libacl1_2.3.2-1build1_arm64.deb ... Unpacking libacl1:arm64 (2.3.2-1build1) over (2.3.1-3) ... Setting up libacl1:arm64 (2.3.2-1build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libapparmor1_4.0.0-beta3-0ubuntu3_arm64.deb ... Unpacking libapparmor1:arm64 (4.0.0-beta3-0ubuntu3) over (4.0.0~alpha2-0ubuntu5) ... Preparing to unpack .../libaudit-common_1%3a3.1.2-2.1build1_all.deb ... Unpacking libaudit-common (1:3.1.2-2.1build1) over (1:3.1.1-1) ... Setting up libaudit-common (1:3.1.2-2.1build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libcap-ng0_0.8.4-2build2_arm64.deb ... Unpacking libcap-ng0:arm64 (0.8.4-2build2) over (0.8.3-1build2) ... Setting up libcap-ng0:arm64 (0.8.4-2build2) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a3.1.2-2.1build1_arm64.deb ... Unpacking libaudit1:arm64 (1:3.1.2-2.1build1) over (1:3.1.1-1) ... Setting up libaudit1:arm64 (1:3.1.2-2.1build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libblkid1_2.39.3-9ubuntu5_arm64.deb ... Unpacking libblkid1:arm64 (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Setting up libblkid1:arm64 (2.39.3-9ubuntu5) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libcap2_1%3a2.66-5ubuntu2_arm64.deb ... Unpacking libcap2:arm64 (1:2.66-5ubuntu2) over (1:2.66-4ubuntu1) ... Setting up libcap2:arm64 (1:2.66-5ubuntu2) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libcrypt1_1%3a4.4.36-4build1_arm64.deb ... Unpacking libcrypt1:arm64 (1:4.4.36-4build1) over (1:4.4.36-2) ... Setting up libcrypt1:arm64 (1:4.4.36-4build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libgpg-error-l10n_1.47-3build2_all.deb ... Unpacking libgpg-error-l10n (1.47-3build2) over (1.47-2) ... Preparing to unpack .../libgpg-error0_1.47-3build2_arm64.deb ... Unpacking libgpg-error0:arm64 (1.47-3build2) over (1.47-2) ... Setting up libgpg-error0:arm64 (1.47-3build2) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.10.3-2build1_arm64.deb ... Unpacking libgcrypt20:arm64 (1.10.3-2build1) over (1.10.2-3ubuntu1) ... Setting up libgcrypt20:arm64 (1.10.3-2build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../liblzma5_5.6.1+really5.4.5-1_arm64.deb ... Unpacking liblzma5:arm64 (5.6.1+really5.4.5-1) over (5.4.1-0.2) ... Setting up liblzma5:arm64 (5.6.1+really5.4.5-1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libzstd1_1.5.5+dfsg2-2build1_arm64.deb ... Unpacking libzstd1:arm64 (1.5.5+dfsg2-2build1) over (1.5.5+dfsg2-1ubuntu2) ... Setting up libzstd1:arm64 (1.5.5+dfsg2-2build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libkmod2_31+20240202-2ubuntu6_arm64.deb ... Unpacking libkmod2:arm64 (31+20240202-2ubuntu6) over (30+20230519-1ubuntu3) ... Preparing to unpack .../liblz4-1_1.9.4-1build1_arm64.deb ... Unpacking liblz4-1:arm64 (1.9.4-1build1) over (1.9.4-1) ... Setting up liblz4-1:arm64 (1.9.4-1build1) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libpcre2-8-0_10.42-4ubuntu2_arm64.deb ... Unpacking libpcre2-8-0:arm64 (10.42-4ubuntu2) over (10.42-4) ... Setting up libpcre2-8-0:arm64 (10.42-4ubuntu2) ... (Reading database ... 13670 files and directories currently installed.) Preparing to unpack .../libselinux1_3.5-2ubuntu2_arm64.deb ... Unpacking libselinux1:arm64 (3.5-2ubuntu2) over (3.5-1) ... Setting up libselinux1:arm64 (3.5-2ubuntu2) ... (Reading database ... 13671 files and directories currently installed.) Preparing to unpack .../libmount1_2.39.3-9ubuntu5_arm64.deb ... Unpacking libmount1:arm64 (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Setting up libmount1:arm64 (2.39.3-9ubuntu5) ... (Reading database ... 13671 files and directories currently installed.) Preparing to unpack .../perl_5.38.2-3.2build2_arm64.deb ... Unpacking perl (5.38.2-3.2build2) over (5.36.0-9ubuntu1) ... Selecting previously unselected package perl-modules-5.38. Preparing to unpack .../perl-modules-5.38_5.38.2-3.2build2_all.deb ... Unpacking perl-modules-5.38 (5.38.2-3.2build2) ... dpkg: libdb5.3:arm64: dependency problems, but removing anyway as you requested: libperl5.36:arm64 depends on libdb5.3. libpam-modules:arm64 depends on libdb5.3. apt-utils depends on libdb5.3. (Reading database ... 15083 files and directories currently installed.) Removing libdb5.3:arm64 (5.3.28+dfsg2-2) ... Selecting previously unselected package libdb5.3t64:arm64. (Reading database ... 15077 files and directories currently installed.) Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-6build1_arm64.deb ... Unpacking libdb5.3t64:arm64 (5.3.28+dfsg2-6build1) ... dpkg: libgdbm6:arm64: dependency problems, but removing anyway as you requested: libperl5.36:arm64 depends on libgdbm6 (>= 1.21). libgdbm-compat4:arm64 depends on libgdbm6 (>= 1.16). (Reading database ... 15083 files and directories currently installed.) Removing libgdbm6:arm64 (1.23-3) ... Selecting previously unselected package libgdbm6t64:arm64. (Reading database ... 15078 files and directories currently installed.) Preparing to unpack .../libgdbm6t64_1.23-5.1build1_arm64.deb ... Unpacking libgdbm6t64:arm64 (1.23-5.1build1) ... dpkg: libgdbm-compat4:arm64: dependency problems, but removing anyway as you requested: libperl5.36:arm64 depends on libgdbm-compat4 (>= 1.18-3). (Reading database ... 15084 files and directories currently installed.) Removing libgdbm-compat4:arm64 (1.23-3) ... Selecting previously unselected package libgdbm-compat4t64:arm64. (Reading database ... 15079 files and directories currently installed.) Preparing to unpack .../libgdbm-compat4t64_1.23-5.1build1_arm64.deb ... Unpacking libgdbm-compat4t64:arm64 (1.23-5.1build1) ... Selecting previously unselected package libperl5.38t64:arm64. Preparing to unpack .../libperl5.38t64_5.38.2-3.2build2_arm64.deb ... Unpacking libperl5.38t64:arm64 (5.38.2-3.2build2) ... Preparing to unpack .../perl-base_5.38.2-3.2build2_arm64.deb ... Unpacking perl-base (5.38.2-3.2build2) over (5.36.0-9ubuntu1) ... Setting up perl-base (5.38.2-3.2build2) ... (Reading database ... 15617 files and directories currently installed.) Preparing to unpack .../liblocale-gettext-perl_1.07-6ubuntu5_arm64.deb ... Unpacking liblocale-gettext-perl (1.07-6ubuntu5) over (1.07-6) ... Preparing to unpack .../libtext-iconv-perl_1.7-8build3_arm64.deb ... Unpacking libtext-iconv-perl:arm64 (1.7-8build3) over (1.7-8) ... Preparing to unpack .../libtext-charwidth-perl_0.04-11build3_arm64.deb ... Unpacking libtext-charwidth-perl:arm64 (0.04-11build3) over (0.04-11) ... Preparing to unpack .../libc6_2.39-0ubuntu8_arm64.deb ... Unpacking libc6:arm64 (2.39-0ubuntu8) over (2.38-1ubuntu6) ... Setting up libc6:arm64 (2.39-0ubuntu8) ... (Reading database ... 15619 files and directories currently installed.) Preparing to unpack .../libc-bin_2.39-0ubuntu8_arm64.deb ... Unpacking libc-bin (2.39-0ubuntu8) over (2.38-1ubuntu6) ... Setting up libc-bin (2.39-0ubuntu8) ... (Reading database ... 15619 files and directories currently installed.) Preparing to unpack .../openssl_3.0.13-0ubuntu3_arm64.deb ... Unpacking openssl (3.0.13-0ubuntu3) over (3.0.10-1ubuntu2) ... Preparing to unpack .../libsystemd-shared_255.4-1ubuntu7_arm64.deb ... Unpacking libsystemd-shared:arm64 (255.4-1ubuntu7) over (253.5-1ubuntu6) ... Preparing to unpack .../libcryptsetup12_2%3a2.7.0-1ubuntu4_arm64.deb ... Unpacking libcryptsetup12:arm64 (2:2.7.0-1ubuntu4) over (2:2.6.1-4ubuntu3) ... dpkg: libssl3:arm64: dependency problems, but removing anyway as you requested: systemd depends on libssl3 (>= 3.0.0). (Reading database ... 15618 files and directories currently installed.) Removing libssl3:arm64 (3.0.10-1ubuntu2) ... Selecting previously unselected package libssl3t64:arm64. (Reading database ... 15607 files and directories currently installed.) Preparing to unpack .../libssl3t64_3.0.13-0ubuntu3_arm64.deb ... Unpacking libssl3t64:arm64 (3.0.13-0ubuntu3) ... Setting up libssl3t64:arm64 (3.0.13-0ubuntu3) ... (Reading database ... 15620 files and directories currently installed.) Preparing to unpack .../systemd-dev_255.4-1ubuntu7_all.deb ... Unpacking systemd-dev (255.4-1ubuntu7) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd-sysv_255.4-1ubuntu7_arm64.deb ... Unpacking systemd-sysv (255.4-1ubuntu7) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd_255.4-1ubuntu7_arm64.deb ... Unpacking systemd (255.4-1ubuntu7) over (253.5-1ubuntu6) ... dpkg: warning: unable to delete old directory '/lib/systemd/system-preset': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system-generators': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@0.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user-.slice.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/timers.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/systemd-localed.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sysinit.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sockets.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rescue.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rc-local.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/multi-user.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-fs.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-device.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/graphical.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/getty.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/network': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/journald.conf.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/modprobe.d': Directory not empty Preparing to unpack .../libsystemd0_255.4-1ubuntu7_arm64.deb ... Unpacking libsystemd0:arm64 (255.4-1ubuntu7) over (253.5-1ubuntu6) ... Setting up libsystemd0:arm64 (255.4-1ubuntu7) ... (Reading database ... 15782 files and directories currently installed.) Preparing to unpack .../libpam-modules-bin_1.5.3-5ubuntu4_arm64.deb ... Unpacking libpam-modules-bin (1.5.3-5ubuntu4) over (1.5.2-6ubuntu1) ... Setting up libpam-modules-bin (1.5.3-5ubuntu4) ... (Reading database ... 15781 files and directories currently installed.) Preparing to unpack .../libpam-modules_1.5.3-5ubuntu4_arm64.deb ... Unpacking libpam-modules:arm64 (1.5.3-5ubuntu4) over (1.5.2-6ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/aarch64-linux-gnu/security': Directory not empty Setting up libpam-modules:arm64 (1.5.3-5ubuntu4) ... Installing new version of config file /etc/security/namespace.init ... dpkg: libhogweed6:arm64: dependency problems, but removing anyway as you requested: libgnutls30:arm64 depends on libhogweed6 (>= 3.6). (Reading database ... 15778 files and directories currently installed.) Removing libhogweed6:arm64 (3.9.1-2) ... dpkg: libnettle8:arm64: dependency problems, but removing anyway as you requested: libgnutls30:arm64 depends on libnettle8 (>= 3.7~). Removing libnettle8:arm64 (3.9.1-2) ... Selecting previously unselected package libnettle8t64:arm64. (Reading database ... 15766 files and directories currently installed.) Preparing to unpack .../libnettle8t64_3.9.1-2.2build1_arm64.deb ... Unpacking libnettle8t64:arm64 (3.9.1-2.2build1) ... Setting up libnettle8t64:arm64 (3.9.1-2.2build1) ... Selecting previously unselected package libhogweed6t64:arm64. (Reading database ... 15774 files and directories currently installed.) Preparing to unpack .../libhogweed6t64_3.9.1-2.2build1_arm64.deb ... Unpacking libhogweed6t64:arm64 (3.9.1-2.2build1) ... Setting up libhogweed6t64:arm64 (3.9.1-2.2build1) ... (Reading database ... 15780 files and directories currently installed.) Preparing to unpack .../libp11-kit0_0.25.3-4ubuntu2_arm64.deb ... Unpacking libp11-kit0:arm64 (0.25.3-4ubuntu2) over (0.25.0-4ubuntu1) ... Setting up libp11-kit0:arm64 (0.25.3-4ubuntu2) ... Selecting previously unselected package libunistring5:arm64. (Reading database ... 15780 files and directories currently installed.) Preparing to unpack .../libunistring5_1.1-2build1_arm64.deb ... Unpacking libunistring5:arm64 (1.1-2build1) ... Setting up libunistring5:arm64 (1.1-2build1) ... dpkg: libgnutls30:arm64: dependency problems, but removing anyway as you requested: apt depends on libgnutls30 (>= 3.7.5). (Reading database ... 15785 files and directories currently installed.) Removing libgnutls30:arm64 (3.8.1-4ubuntu1) ... Selecting previously unselected package libgnutls30t64:arm64. (Reading database ... 15775 files and directories currently installed.) Preparing to unpack .../libgnutls30t64_3.8.3-1.1ubuntu3_arm64.deb ... Unpacking libgnutls30t64:arm64 (3.8.3-1.1ubuntu3) ... Setting up libgnutls30t64:arm64 (3.8.3-1.1ubuntu3) ... dpkg: libapt-pkg6.0:arm64: dependency problems, but removing anyway as you requested: apt-utils depends on libapt-pkg6.0 (>= 2.7.3). apt depends on libapt-pkg6.0 (>= 2.7.3). (Reading database ... 15787 files and directories currently installed.) Removing libapt-pkg6.0:arm64 (2.7.3) ... Selecting previously unselected package libapt-pkg6.0t64:arm64. (Reading database ... 15738 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0t64_2.7.14build2_arm64.deb ... Unpacking libapt-pkg6.0t64:arm64 (2.7.14build2) ... Setting up libapt-pkg6.0t64:arm64 (2.7.14build2) ... (Reading database ... 15788 files and directories currently installed.) Preparing to unpack .../bzip2_1.0.8-5.1_arm64.deb ... Unpacking bzip2 (1.0.8-5.1) over (1.0.8-5build1) ... dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') Preparing to unpack .../libbz2-1.0_1.0.8-5.1_arm64.deb ... Unpacking libbz2-1.0:arm64 (1.0.8-5.1) over (1.0.8-5build1) ... Setting up libbz2-1.0:arm64 (1.0.8-5.1) ... Selecting previously unselected package gcc-14-base:arm64. (Reading database ... 15788 files and directories currently installed.) Preparing to unpack .../gcc-14-base_14-20240330-1ubuntu2_arm64.deb ... Unpacking gcc-14-base:arm64 (14-20240330-1ubuntu2) ... Setting up gcc-14-base:arm64 (14-20240330-1ubuntu2) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libgcc-s1_14-20240330-1ubuntu2_arm64.deb ... Unpacking libgcc-s1:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Setting up libgcc-s1:arm64 (14-20240330-1ubuntu2) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libstdc++6_14-20240330-1ubuntu2_arm64.deb ... Unpacking libstdc++6:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Setting up libstdc++6:arm64 (14-20240330-1ubuntu2) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libudev1_255.4-1ubuntu7_arm64.deb ... Unpacking libudev1:arm64 (255.4-1ubuntu7) over (253.5-1ubuntu6) ... Setting up libudev1:arm64 (255.4-1ubuntu7) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libxxhash0_0.8.2-2build1_arm64.deb ... Unpacking libxxhash0:arm64 (0.8.2-2build1) over (0.8.1-1) ... Setting up libxxhash0:arm64 (0.8.2-2build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../zlib1g_1%3a1.3.dfsg-3.1ubuntu2_arm64.deb ... Unpacking zlib1g:arm64 (1:1.3.dfsg-3.1ubuntu2) over (1:1.2.13.dfsg-1ubuntu5) ... Setting up zlib1g:arm64 (1:1.3.dfsg-3.1ubuntu2) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libgmp10_2%3a6.3.0+dfsg-2ubuntu5_arm64.deb ... Unpacking libgmp10:arm64 (2:6.3.0+dfsg-2ubuntu5) over (2:6.3.0+dfsg-2ubuntu4) ... Setting up libgmp10:arm64 (2:6.3.0+dfsg-2ubuntu5) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libffi8_3.4.6-1build1_arm64.deb ... Unpacking libffi8:arm64 (3.4.6-1build1) over (3.4.4-1) ... Setting up libffi8:arm64 (3.4.6-1build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libidn2-0_2.3.7-2build1_arm64.deb ... Unpacking libidn2-0:arm64 (2.3.7-2build1) over (2.3.4-1) ... Setting up libidn2-0:arm64 (2.3.7-2build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libtasn1-6_4.19.0-3build1_arm64.deb ... Unpacking libtasn1-6:arm64 (4.19.0-3build1) over (4.19.0-3) ... Setting up libtasn1-6:arm64 (4.19.0-3build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libdebconfclient0_0.271ubuntu3_arm64.deb ... Unpacking libdebconfclient0:arm64 (0.271ubuntu3) over (0.270ubuntu1) ... Setting up libdebconfclient0:arm64 (0.271ubuntu3) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../base-passwd_3.6.3build1_arm64.deb ... Unpacking base-passwd (3.6.3build1) over (3.6.1) ... Setting up base-passwd (3.6.3build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libassuan0_2.5.6-1build1_arm64.deb ... Unpacking libassuan0:arm64 (2.5.6-1build1) over (2.5.6-1) ... Setting up libassuan0:arm64 (2.5.6-1build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../libsqlite3-0_3.45.1-1ubuntu2_arm64.deb ... Unpacking libsqlite3-0:arm64 (3.45.1-1ubuntu2) over (3.42.0-1) ... Preparing to unpack .../gpg_2.4.4-2ubuntu17_arm64.deb ... Unpacking gpg (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... dpkg: libreadline8:arm64: dependency problems, but removing anyway as you requested: gpgconf depends on libreadline8 (>= 6.0). (Reading database ... 15793 files and directories currently installed.) Removing libreadline8:arm64 (8.2-1.3) ... Selecting previously unselected package libreadline8t64:arm64. (Reading database ... 15781 files and directories currently installed.) Preparing to unpack .../libreadline8t64_8.2-4build1_arm64.deb ... Adding 'diversion of /lib/aarch64-linux-gnu/libhistory.so.8 to /lib/aarch64-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/aarch64-linux-gnu/libhistory.so.8.2 to /lib/aarch64-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/aarch64-linux-gnu/libreadline.so.8 to /lib/aarch64-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/aarch64-linux-gnu/libreadline.so.8.2 to /lib/aarch64-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:arm64 (8.2-4build1) ... Preparing to unpack .../readline-common_8.2-4build1_all.deb ... Unpacking readline-common (8.2-4build1) over (8.2-1.3) ... Preparing to unpack .../libncursesw6_6.4+20240113-1ubuntu2_arm64.deb ... Unpacking libncursesw6:arm64 (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Preparing to unpack .../libtinfo6_6.4+20240113-1ubuntu2_arm64.deb ... Unpacking libtinfo6:arm64 (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up libtinfo6:arm64 (6.4+20240113-1ubuntu2) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../gpg-agent_2.4.4-2ubuntu17_arm64.deb ... Unpacking gpg-agent (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../gpgconf_2.4.4-2ubuntu17_arm64.deb ... Unpacking gpgconf (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../pinentry-curses_1.2.1-3ubuntu5_arm64.deb ... Unpacking pinentry-curses (1.2.1-3ubuntu5) over (1.2.1-1ubuntu1) ... Preparing to unpack .../init-system-helpers_1.66ubuntu2_all.deb ... Unpacking init-system-helpers (1.66ubuntu2) over (1.65.2ubuntu1) ... Setting up init-system-helpers (1.66ubuntu2) ... (Reading database ... 15800 files and directories currently installed.) Removing libnpth0:arm64 (1.6-3build2) ... Selecting previously unselected package libnpth0t64:arm64. (Reading database ... 15795 files and directories currently installed.) Preparing to unpack .../libnpth0t64_1.6-3.1build1_arm64.deb ... Unpacking libnpth0t64:arm64 (1.6-3.1build1) ... Setting up libnpth0t64:arm64 (1.6-3.1build1) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../gpgv_2.4.4-2ubuntu17_arm64.deb ... Unpacking gpgv (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Setting up gpgv (2.4.4-2ubuntu17) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../ubuntu-keyring_2023.11.28.1_all.deb ... Unpacking ubuntu-keyring (2023.11.28.1) over (2021.03.26) ... Setting up ubuntu-keyring (2023.11.28.1) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../libseccomp2_2.5.5-1ubuntu3_arm64.deb ... Unpacking libseccomp2:arm64 (2.5.5-1ubuntu3) over (2.5.4-1ubuntu3) ... Setting up libseccomp2:arm64 (2.5.5-1ubuntu3) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../apt-utils_2.7.14build2_arm64.deb ... Unpacking apt-utils (2.7.14build2) over (2.7.3) ... Preparing to unpack .../apt_2.7.14build2_arm64.deb ... Unpacking apt (2.7.14build2) over (2.7.3) ... Setting up apt (2.7.14build2) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../debconf-i18n_1.5.86_all.deb ... Unpacking debconf-i18n (1.5.86) over (1.5.82) ... Preparing to unpack .../debconf_1.5.86_all.deb ... Unpacking debconf (1.5.86) over (1.5.82) ... Setting up debconf (1.5.86) ... Installing new version of config file /etc/debconf.conf ... (Reading database ... 15800 files and directories currently installed.) Preparing to unpack .../libpam0g_1.5.3-5ubuntu4_arm64.deb ... Unpacking libpam0g:arm64 (1.5.3-5ubuntu4) over (1.5.2-6ubuntu1) ... Setting up libpam0g:arm64 (1.5.3-5ubuntu4) ... (Reading database ... 15799 files and directories currently installed.) Preparing to unpack .../libargon2-1_0~20190702+dfsg-4build1_arm64.deb ... Unpacking libargon2-1:arm64 (0~20190702+dfsg-4build1) over (0~20190702+dfsg-3) ... Preparing to unpack .../libdevmapper1.02.1_2%3a1.02.185-3ubuntu3_arm64.deb ... Unpacking libdevmapper1.02.1:arm64 (2:1.02.185-3ubuntu3) over (2:1.02.185-2ubuntu1) ... Preparing to unpack .../libjson-c5_0.17-1build1_arm64.deb ... Unpacking libjson-c5:arm64 (0.17-1build1) over (0.17-1) ... Preparing to unpack .../libuuid1_2.39.3-9ubuntu5_arm64.deb ... Unpacking libuuid1:arm64 (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Setting up libuuid1:arm64 (2.39.3-9ubuntu5) ... (Reading database ... 15799 files and directories currently installed.) Preparing to unpack .../0-libfdisk1_2.39.3-9ubuntu5_arm64.deb ... Unpacking libfdisk1:arm64 (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Preparing to unpack .../1-mount_2.39.3-9ubuntu5_arm64.deb ... Unpacking mount (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Preparing to unpack .../2-libcom-err2_1.47.0-2.4~exp1ubuntu4_arm64.deb ... Unpacking libcom-err2:arm64 (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... Preparing to unpack .../3-libkeyutils1_1.6.3-3build1_arm64.deb ... Unpacking libkeyutils1:arm64 (1.6.3-3build1) over (1.6.3-2) ... Preparing to unpack .../4-libnsl2_1.3.0-3build3_arm64.deb ... Unpacking libnsl2:arm64 (1.3.0-3build3) over (1.3.0-2build2) ... Preparing to unpack .../5-linux-libc-dev_6.8.0-22.22_arm64.deb ... Unpacking linux-libc-dev:arm64 (6.8.0-22.22) over (6.5.0-9.9) ... Preparing to unpack .../6-base-files_13ubuntu9_arm64.deb ... Unpacking base-files (13ubuntu9) over (13ubuntu3) ... Setting up base-files (13ubuntu9) ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... Installing new version of config file /etc/update-motd.d/10-help-text ... (Reading database ... 15818 files and directories currently installed.) Preparing to unpack .../debianutils_5.17build1_arm64.deb ... Unpacking debianutils (5.17build1) over (5.8-1) ... Setting up debianutils (5.17build1) ... (Reading database ... 15817 files and directories currently installed.) Preparing to unpack .../bash_5.2.21-2ubuntu4_arm64.deb ... Unpacking bash (5.2.21-2ubuntu4) over (5.2.15-2ubuntu1) ... Setting up bash (5.2.21-2ubuntu4) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 15817 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.39.3-9ubuntu5_arm64.deb ... Unpacking bsdutils (1:2.39.3-9ubuntu5) over (1:2.39.1-4ubuntu2) ... Setting up bsdutils (1:2.39.3-9ubuntu5) ... (Reading database ... 15817 files and directories currently installed.) Removing usrmerge (35ubuntu1) ... (Reading database ... 15791 files and directories currently installed.) Preparing to unpack .../coreutils_9.4-3ubuntu6_arm64.deb ... Unpacking coreutils (9.4-3ubuntu6) over (9.1-1ubuntu2) ... Setting up coreutils (9.4-3ubuntu6) ... (Reading database ... 15796 files and directories currently installed.) Preparing to unpack .../tar_1.35+dfsg-3build1_arm64.deb ... Unpacking tar (1.35+dfsg-3build1) over (1.34+dfsg-1.2ubuntu1) ... Setting up tar (1.35+dfsg-3build1) ... (Reading database ... 15796 files and directories currently installed.) Preparing to unpack .../dpkg_1.22.6ubuntu6_arm64.deb ... Unpacking dpkg (1.22.6ubuntu6) over (1.22.0ubuntu1) ... Setting up dpkg (1.22.6ubuntu6) ... (Reading database ... 15794 files and directories currently installed.) Preparing to unpack .../dash_0.5.12-6ubuntu5_arm64.deb ... Unpacking dash (0.5.12-6ubuntu5) over (0.5.12-6ubuntu1) ... Setting up dash (0.5.12-6ubuntu5) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.10-1build1_arm64.deb ... Unpacking diffutils (1:3.10-1build1) over (1:3.8-4) ... Setting up diffutils (1:3.10-1build1) ... (Reading database ... 15793 files and directories currently installed.) Preparing to unpack .../findutils_4.9.0-5build1_arm64.deb ... Unpacking findutils (4.9.0-5build1) over (4.9.0-5) ... Setting up findutils (4.9.0-5build1) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../grep_3.11-4build1_arm64.deb ... Unpacking grep (3.11-4build1) over (3.11-2) ... Setting up grep (3.11-4build1) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../gzip_1.12-1ubuntu3_arm64.deb ... Unpacking gzip (1.12-1ubuntu3) over (1.12-1ubuntu1) ... dpkg: warning: old file '/bin/uncompress' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') dpkg: warning: old file '/bin/gunzip' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') Setting up gzip (1.12-1ubuntu3) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../hostname_3.23+nmu2ubuntu2_arm64.deb ... Unpacking hostname (3.23+nmu2ubuntu2) over (3.23+nmu1ubuntu1) ... Setting up hostname (3.23+nmu2ubuntu2) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../login_1%3a4.13+dfsg1-4ubuntu2_arm64.deb ... Unpacking login (1:4.13+dfsg1-4ubuntu2) over (1:4.13+dfsg1-1ubuntu1) ... Setting up login (1:4.13+dfsg1-4ubuntu2) ... Installing new version of config file /etc/login.defs ... Installing new version of config file /etc/pam.d/login ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../ncurses-bin_6.4+20240113-1ubuntu2_arm64.deb ... Unpacking ncurses-bin (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up ncurses-bin (6.4+20240113-1ubuntu2) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../sed_4.9-2build1_arm64.deb ... Unpacking sed (4.9-2build1) over (4.9-1) ... Setting up sed (4.9-2build1) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../util-linux_2.39.3-9ubuntu5_arm64.deb ... Unpacking util-linux (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Setting up util-linux (2.39.3-9ubuntu5) ... (Reading database ... 15794 files and directories currently installed.) Preparing to unpack .../ncurses-base_6.4+20240113-1ubuntu2_all.deb ... Unpacking ncurses-base (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up ncurses-base (6.4+20240113-1ubuntu2) ... (Reading database ... 15794 files and directories currently installed.) Preparing to unpack .../sysvinit-utils_3.08-6ubuntu3_arm64.deb ... Unpacking sysvinit-utils (3.08-6ubuntu3) over (3.07-1ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/lsb/init-functions.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/lsb': Directory not empty dpkg: warning: unable to delete old directory '/lib/init': Directory not empty Setting up sysvinit-utils (3.08-6ubuntu3) ... (Reading database ... 15792 files and directories currently installed.) Preparing to unpack .../logsave_1.47.0-2.4~exp1ubuntu4_arm64.deb ... Unpacking logsave (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... dpkg: libext2fs2:arm64: dependency problems, but removing anyway as you requested: e2fsprogs depends on libext2fs2 (= 1.47.0-2ubuntu1). (Reading database ... 15792 files and directories currently installed.) Removing libext2fs2:arm64 (1.47.0-2ubuntu1) ... Selecting previously unselected package libext2fs2t64:arm64. (Reading database ... 15785 files and directories currently installed.) Preparing to unpack .../libext2fs2t64_1.47.0-2.4~exp1ubuntu4_arm64.deb ... Adding 'diversion of /lib/aarch64-linux-gnu/libe2p.so.2 to /lib/aarch64-linux-gnu/libe2p.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/aarch64-linux-gnu/libe2p.so.2.3 to /lib/aarch64-linux-gnu/libe2p.so.2.3.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/aarch64-linux-gnu/libext2fs.so.2 to /lib/aarch64-linux-gnu/libext2fs.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/aarch64-linux-gnu/libext2fs.so.2.4 to /lib/aarch64-linux-gnu/libext2fs.so.2.4.usr-is-merged by libext2fs2t64' Unpacking libext2fs2t64:arm64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libcom-err2:arm64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libext2fs2t64:arm64 (1.47.0-2.4~exp1ubuntu4) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.47.0-2.4~exp1ubuntu4_arm64.deb ... Unpacking e2fsprogs (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/udev/rules.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/udev': Directory not empty Preparing to unpack .../optipng_0.7.8+ds-1build2_arm64.deb ... Unpacking optipng (0.7.8+ds-1build2) over (0.7.7-2build1) ... (Reading database ... 15799 files and directories currently installed.) Removing libpng16-16:arm64 (1.6.40-1) ... Selecting previously unselected package libpng16-16t64:arm64. (Reading database ... 15789 files and directories currently installed.) Preparing to unpack .../libpng16-16t64_1.6.43-5build1_arm64.deb ... Unpacking libpng16-16t64:arm64 (1.6.43-5build1) ... Setting up libapparmor1:arm64 (4.0.0-beta3-0ubuntu3) ... Setting up libargon2-1:arm64 (0~20190702+dfsg-4build1) ... Setting up libdevmapper1.02.1:arm64 (2:1.02.185-3ubuntu3) ... Setting up libjson-c5:arm64 (0.17-1build1) ... Setting up libcryptsetup12:arm64 (2:2.7.0-1ubuntu4) ... Setting up libfdisk1:arm64 (2.39.3-9ubuntu5) ... Setting up libkmod2:arm64 (31+20240202-2ubuntu6) ... Setting up libsystemd-shared:arm64 (255.4-1ubuntu7) ... Setting up systemd-dev (255.4-1ubuntu7) ... Setting up mount (2.39.3-9ubuntu5) ... Setting up systemd (255.4-1ubuntu7) ... Installing new version of config file /etc/systemd/journald.conf ... Installing new version of config file /etc/systemd/logind.conf ... Installing new version of config file /etc/systemd/networkd.conf ... Installing new version of config file /etc/systemd/pstore.conf ... Installing new version of config file /etc/systemd/sleep.conf ... Installing new version of config file /etc/systemd/system.conf ... Installing new version of config file /etc/systemd/user.conf ... Initializing machine ID from random generator. Setting up systemd-sysv (255.4-1ubuntu7) ... (Reading database ... 15800 files and directories currently installed.) Preparing to unpack .../init_1.66ubuntu2_arm64.deb ... Unpacking init (1.66ubuntu2) over (1.65.2ubuntu1) ... Preparing to unpack .../libsmartcols1_2.39.3-9ubuntu5_arm64.deb ... Unpacking libsmartcols1:arm64 (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Setting up libsmartcols1:arm64 (2.39.3-9ubuntu5) ... (Reading database ... 15801 files and directories currently installed.) Preparing to unpack .../uuid-runtime_2.39.3-9ubuntu5_arm64.deb ... Unpacking uuid-runtime (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... dpkg: warning: unable to delete old directory '/lib/systemd/system': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd': Directory not empty Preparing to unpack .../libattr1_1%3a2.5.2-1build1_arm64.deb ... Unpacking libattr1:arm64 (1:2.5.2-1build1) over (1:2.5.1-4) ... Setting up libattr1:arm64 (1:2.5.2-1build1) ... (Reading database ... 15799 files and directories currently installed.) Preparing to unpack .../libmd0_1.1.0-2build1_arm64.deb ... Unpacking libmd0:arm64 (1.1.0-2build1) over (1.1.0-1) ... Setting up libmd0:arm64 (1.1.0-2build1) ... (Reading database ... 15799 files and directories currently installed.) Preparing to unpack .../libpam-runtime_1.5.3-5ubuntu4_all.deb ... Unpacking libpam-runtime (1.5.3-5ubuntu4) over (1.5.2-6ubuntu1) ... Setting up libpam-runtime (1.5.3-5ubuntu4) ... (Reading database ... 15798 files and directories currently installed.) Preparing to unpack .../libsemanage-common_3.5-1build5_all.deb ... Unpacking libsemanage-common (3.5-1build5) over (3.5-1) ... Setting up libsemanage-common (3.5-1build5) ... (Reading database ... 15798 files and directories currently installed.) Preparing to unpack .../libsepol2_3.5-2build1_arm64.deb ... Unpacking libsepol2:arm64 (3.5-2build1) over (3.5-1) ... Setting up libsepol2:arm64 (3.5-2build1) ... (Reading database ... 15798 files and directories currently installed.) Preparing to unpack .../libsemanage2_3.5-1build5_arm64.deb ... Unpacking libsemanage2:arm64 (3.5-1build5) over (3.5-1) ... Setting up libsemanage2:arm64 (3.5-1build5) ... (Reading database ... 15798 files and directories currently installed.) Preparing to unpack .../passwd_1%3a4.13+dfsg1-4ubuntu2_arm64.deb ... Unpacking passwd (1:4.13+dfsg1-4ubuntu2) over (1:4.13+dfsg1-1ubuntu1) ... Setting up passwd (1:4.13+dfsg1-4ubuntu2) ... (Reading database ... 15798 files and directories currently installed.) Preparing to unpack .../00-libproc2-0_2%3a4.0.4-4ubuntu3_arm64.deb ... Unpacking libproc2-0:arm64 (2:4.0.4-4ubuntu3) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../01-libss2_1.47.0-2.4~exp1ubuntu4_arm64.deb ... Unpacking libss2:arm64 (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... Preparing to unpack .../02-mawk_1.3.4.20240123-1build1_arm64.deb ... Unpacking mawk (1.3.4.20240123-1build1) over (1.3.4.20230730-1) ... Preparing to unpack .../03-procps_2%3a4.0.4-4ubuntu3_arm64.deb ... Unpacking procps (2:4.0.4-4ubuntu3) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../04-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) over (0.0.20) ... Preparing to unpack .../05-ca-certificates_20240203_all.deb ... Unpacking ca-certificates (20240203) over (20230311ubuntu1) ... Preparing to unpack .../06-krb5-locales_1.20.1-6ubuntu2_all.deb ... Unpacking krb5-locales (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../07-tzdata_2024a-2ubuntu1_all.deb ... Unpacking tzdata (2024a-2ubuntu1) over (2023c-9ubuntu1) ... Preparing to unpack .../08-bash-completion_1%3a2.11-8_all.deb ... Unpacking bash-completion (1:2.11-8) over (1:2.11-7) ... Preparing to unpack .../09-bsdextrautils_2.39.3-9ubuntu5_arm64.deb ... Unpacking bsdextrautils (2.39.3-9ubuntu5) over (2.39.1-4ubuntu2) ... Preparing to unpack .../10-libgpm2_1.20.7-11_arm64.deb ... Unpacking libgpm2:arm64 (1.20.7-11) over (1.20.7-10build1) ... Preparing to unpack .../11-libip4tc2_1.8.10-3ubuntu2_arm64.deb ... Unpacking libip4tc2:arm64 (1.8.10-3ubuntu2) over (1.8.9-2ubuntu2) ... Preparing to unpack .../12-libjansson4_2.14-2build2_arm64.deb ... Unpacking libjansson4:arm64 (2.14-2build2) over (2.14-2) ... Preparing to unpack .../13-psmisc_23.7-1build1_arm64.deb ... Unpacking psmisc (23.7-1build1) over (23.6-1) ... Preparing to unpack .../14-xz-utils_5.6.1+really5.4.5-1_arm64.deb ... Unpacking xz-utils (5.6.1+really5.4.5-1) over (5.4.1-0.2) ... Preparing to unpack .../15-advancecomp_2.5-1build1_arm64.deb ... Unpacking advancecomp (2.5-1build1) over (2.5-1) ... Preparing to unpack .../16-libgprofng0_2.42-4ubuntu2_arm64.deb ... Unpacking libgprofng0:arm64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../17-libctf0_2.42-4ubuntu2_arm64.deb ... Unpacking libctf0:arm64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../18-libctf-nobfd0_2.42-4ubuntu2_arm64.deb ... Unpacking libctf-nobfd0:arm64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../19-binutils-aarch64-linux-gnu_2.42-4ubuntu2_arm64.deb ... Unpacking binutils-aarch64-linux-gnu (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../20-libbinutils_2.42-4ubuntu2_arm64.deb ... Unpacking libbinutils:arm64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../21-binutils_2.42-4ubuntu2_arm64.deb ... Unpacking binutils (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../22-binutils-common_2.42-4ubuntu2_arm64.deb ... Unpacking binutils-common:arm64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../23-libsframe1_2.42-4ubuntu2_arm64.deb ... Unpacking libsframe1:arm64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../24-libubsan1_14-20240330-1ubuntu2_arm64.deb ... Unpacking libubsan1:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../25-g++-13_13.2.0-23ubuntu3_arm64.deb ... Unpacking g++-13 (13.2.0-23ubuntu3) over (13.2.0-4ubuntu3) ... Preparing to unpack .../26-libstdc++-13-dev_13.2.0-23ubuntu3_arm64.deb ... Unpacking libstdc++-13-dev:arm64 (13.2.0-23ubuntu3) over (13.2.0-4ubuntu3) ... Preparing to unpack .../27-libgomp1_14-20240330-1ubuntu2_arm64.deb ... Unpacking libgomp1:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../28-libitm1_14-20240330-1ubuntu2_arm64.deb ... Unpacking libitm1:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../29-libatomic1_14-20240330-1ubuntu2_arm64.deb ... Unpacking libatomic1:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../30-libasan8_14-20240330-1ubuntu2_arm64.deb ... Unpacking libasan8:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../31-liblsan0_14-20240330-1ubuntu2_arm64.deb ... Unpacking liblsan0:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../32-libhwasan0_14-20240330-1ubuntu2_arm64.deb ... Unpacking libhwasan0:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../33-gcc-13_13.2.0-23ubuntu3_arm64.deb ... Unpacking gcc-13 (13.2.0-23ubuntu3) over (13.2.0-4ubuntu3) ... Preparing to unpack .../34-libgcc-13-dev_13.2.0-23ubuntu3_arm64.deb ... Unpacking libgcc-13-dev:arm64 (13.2.0-23ubuntu3) over (13.2.0-4ubuntu3) ... Preparing to unpack .../35-libtsan2_14-20240330-1ubuntu2_arm64.deb ... Unpacking libtsan2:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../36-libcc1-0_14-20240330-1ubuntu2_arm64.deb ... Unpacking libcc1-0:arm64 (14-20240330-1ubuntu2) over (13.2.0-4ubuntu3) ... Preparing to unpack .../37-cpp-13_13.2.0-23ubuntu3_arm64.deb ... Unpacking cpp-13 (13.2.0-23ubuntu3) over (13.2.0-4ubuntu3) ... Preparing to unpack .../38-gcc-13-base_13.2.0-23ubuntu3_arm64.deb ... Unpacking gcc-13-base:arm64 (13.2.0-23ubuntu3) over (13.2.0-4ubuntu3) ... Preparing to unpack .../39-libisl23_0.26-3build1_arm64.deb ... Unpacking libisl23:arm64 (0.26-3build1) over (0.26-3) ... Preparing to unpack .../40-libmpfr6_4.2.1-1build1_arm64.deb ... Unpacking libmpfr6:arm64 (4.2.1-1build1) over (4.2.1-1) ... Preparing to unpack .../41-libmpc3_1.3.1-1build1_arm64.deb ... Unpacking libmpc3:arm64 (1.3.1-1build1) over (1.3.1-1) ... Selecting previously unselected package cpp-13-aarch64-linux-gnu. Preparing to unpack .../42-cpp-13-aarch64-linux-gnu_13.2.0-23ubuntu3_arm64.deb ... Unpacking cpp-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Selecting previously unselected package gcc-13-aarch64-linux-gnu. Preparing to unpack .../43-gcc-13-aarch64-linux-gnu_13.2.0-23ubuntu3_arm64.deb ... Unpacking gcc-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Selecting previously unselected package g++-13-aarch64-linux-gnu. Preparing to unpack .../44-g++-13-aarch64-linux-gnu_13.2.0-23ubuntu3_arm64.deb ... Unpacking g++-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Preparing to unpack .../45-g++_4%3a13.2.0-7ubuntu2_arm64.deb ... Unpacking g++ (4:13.2.0-7ubuntu2) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../46-gcc_4%3a13.2.0-7ubuntu2_arm64.deb ... Unpacking gcc (4:13.2.0-7ubuntu2) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../47-cpp_4%3a13.2.0-7ubuntu2_arm64.deb ... Unpacking cpp (4:13.2.0-7ubuntu2) over (4:13.2.0-1ubuntu1) ... Selecting previously unselected package cpp-aarch64-linux-gnu. Preparing to unpack .../48-cpp-aarch64-linux-gnu_4%3a13.2.0-7ubuntu2_arm64.deb ... Unpacking cpp-aarch64-linux-gnu (4:13.2.0-7ubuntu2) ... Selecting previously unselected package gcc-aarch64-linux-gnu. Preparing to unpack .../49-gcc-aarch64-linux-gnu_4%3a13.2.0-7ubuntu2_arm64.deb ... Unpacking gcc-aarch64-linux-gnu (4:13.2.0-7ubuntu2) ... Selecting previously unselected package g++-aarch64-linux-gnu. Preparing to unpack .../50-g++-aarch64-linux-gnu_4%3a13.2.0-7ubuntu2_arm64.deb ... Unpacking g++-aarch64-linux-gnu (4:13.2.0-7ubuntu2) ... Preparing to unpack .../51-make_4.3-4.1build2_arm64.deb ... Unpacking make (4.3-4.1build2) over (4.3-4.1build1) ... Preparing to unpack .../52-dpkg-dev_1.22.6ubuntu6_all.deb ... Unpacking dpkg-dev (1.22.6ubuntu6) over (1.22.0ubuntu1) ... Preparing to unpack .../53-libdpkg-perl_1.22.6ubuntu6_all.deb ... Unpacking libdpkg-perl (1.22.6ubuntu6) over (1.22.0ubuntu1) ... Preparing to unpack .../54-patch_2.7.6-7build3_arm64.deb ... Unpacking patch (2.7.6-7build3) over (2.7.6-7build2) ... Preparing to unpack .../55-lto-disabled-list_47_all.deb ... Unpacking lto-disabled-list (47) over (43) ... Preparing to unpack .../56-build-essential_12.10ubuntu2_arm64.deb ... Unpacking build-essential (12.10ubuntu2) over (12.10ubuntu1) ... Preparing to unpack .../57-libfakeroot_1.33-1build1_arm64.deb ... Unpacking libfakeroot:arm64 (1.33-1build1) over (1.32.1-1) ... Preparing to unpack .../58-fakeroot_1.33-1build1_arm64.deb ... Unpacking fakeroot (1.33-1build1) over (1.32.1-1) ... Preparing to unpack .../59-liblockfile-bin_1.17-1build3_arm64.deb ... Unpacking liblockfile-bin (1.17-1build3) over (1.17-1build2) ... Preparing to unpack .../60-liblockfile1_1.17-1build3_arm64.deb ... Unpacking liblockfile1:arm64 (1.17-1build3) over (1.17-1build2) ... Preparing to unpack .../61-lockfile-progs_0.1.19build2_arm64.deb ... Unpacking lockfile-progs (0.1.19build2) over (0.1.19build1) ... Setting up libip4tc2:arm64 (1.8.10-3ubuntu2) ... Setting up libtext-iconv-perl:arm64 (1.7-8build3) ... Setting up libtext-charwidth-perl:arm64 (0.04-11build3) ... Setting up libkeyutils1:arm64 (1.6.3-3build1) ... Setting up lto-disabled-list (47) ... Setting up libgpm2:arm64 (1.20.7-11) ... Setting up liblockfile-bin (1.17-1build3) ... Setting up libgdbm6t64:arm64 (1.23-5.1build1) ... Setting up bsdextrautils (2.39.3-9ubuntu5) ... Setting up init (1.66ubuntu2) ... Setting up libgdbm-compat4t64:arm64 (1.23-5.1build1) ... Setting up psmisc (23.7-1build1) ... Setting up libtirpc-common (1.3.4+ds-1.1build1) ... Setting up libsqlite3-0:arm64 (3.45.1-1ubuntu2) ... Setting up binutils-common:arm64 (2.42-4ubuntu2) ... Installing new version of config file /etc/gprofng.rc ... Setting up linux-libc-dev:arm64 (6.8.0-22.22) ... Setting up libctf-nobfd0:arm64 (2.42-4ubuntu2) ... Setting up krb5-locales (1.20.1-6ubuntu2) ... Setting up libgomp1:arm64 (14-20240330-1ubuntu2) ... Setting up bzip2 (1.0.8-5.1) ... Setting up libsframe1:arm64 (2.42-4ubuntu2) ... Setting up libfakeroot:arm64 (1.33-1build1) ... Setting up libjansson4:arm64 (2.14-2build2) ... Setting up libkrb5support0:arm64 (1.20.1-6ubuntu2) ... Setting up tzdata (2024a-2ubuntu1) ... Current default time zone: 'Etc/UTC' Local time is now: Tue Apr 9 07:41:28 UTC 2024. Universal Time is now: Tue Apr 9 07:41:28 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up fakeroot (1.33-1build1) ... Setting up rpcsvc-proto (1.4.2-0ubuntu7) ... Setting up gcc-13-base:arm64 (13.2.0-23ubuntu3) ... Setting up make (4.3-4.1build2) ... Setting up libmpfr6:arm64 (4.2.1-1build1) ... Setting up bash-completion (1:2.11-8) ... Setting up xz-utils (5.6.1+really5.4.5-1) ... Setting up perl-modules-5.38 (5.38.2-3.2build2) ... Setting up libproc2-0:arm64 (2:4.0.4-4ubuntu3) ... Setting up libpng16-16t64:arm64 (1.6.43-5build1) ... Setting up libmpc3:arm64 (1.3.1-1build1) ... Setting up libatomic1:arm64 (14-20240330-1ubuntu2) ... Setting up patch (2.7.6-7build3) ... Setting up libss2:arm64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libncursesw6:arm64 (6.4+20240113-1ubuntu2) ... Setting up libk5crypto3:arm64 (1.20.1-6ubuntu2) ... Setting up logsave (1.47.0-2.4~exp1ubuntu4) ... Setting up libdb5.3t64:arm64 (5.3.28+dfsg2-6build1) ... Setting up libubsan1:arm64 (14-20240330-1ubuntu2) ... Setting up advancecomp (2.5-1build1) ... Setting up sensible-utils (0.0.22) ... Setting up uuid-runtime (2.39.3-9ubuntu5) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of restart. Setting up libhwasan0:arm64 (14-20240330-1ubuntu2) ... Setting up libcrypt-dev:arm64 (1:4.4.36-4build1) ... Setting up libasan8:arm64 (14-20240330-1ubuntu2) ... Setting up procps (2:4.0.4-4ubuntu3) ... Installing new version of config file /etc/sysctl.conf ... Setting up mawk (1.3.4.20240123-1build1) ... Setting up libkrb5-3:arm64 (1.20.1-6ubuntu2) ... Setting up liblockfile1:arm64 (1.17-1build3) ... Setting up libperl5.38t64:arm64 (5.38.2-3.2build2) ... Setting up libtsan2:arm64 (14-20240330-1ubuntu2) ... Setting up libbinutils:arm64 (2.42-4ubuntu2) ... Setting up libisl23:arm64 (0.26-3build1) ... Setting up libc-dev-bin (2.39-0ubuntu8) ... Setting up openssl (3.0.13-0ubuntu3) ... Setting up libgpg-error-l10n (1.47-3build2) ... Setting up readline-common (8.2-4build1) ... Setting up libcc1-0:arm64 (14-20240330-1ubuntu2) ... Setting up liblocale-gettext-perl (1.07-6ubuntu5) ... Setting up liblsan0:arm64 (14-20240330-1ubuntu2) ... Setting up libitm1:arm64 (14-20240330-1ubuntu2) ... Setting up libctf0:arm64 (2.42-4ubuntu2) ... Setting up pinentry-curses (1.2.1-3ubuntu5) ... Setting up apt-utils (2.7.14build2) ... Setting up cpp-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Setting up debconf-i18n (1.5.86) ... Setting up e2fsprogs (1.47.0-2.4~exp1ubuntu4) ... Setting up ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... rehash: warning: skipping ca-certificates.crt,it does not contain exactly one certificate or CRL 14 added, 5 removed; done. Setting up perl (5.38.2-3.2build2) ... Setting up cpp-aarch64-linux-gnu (4:13.2.0-7ubuntu2) ... Setting up libgprofng0:arm64 (2.42-4ubuntu2) ... Setting up optipng (0.7.8+ds-1build2) ... Setting up lockfile-progs (0.1.19build2) ... Setting up libgssapi-krb5-2:arm64 (1.20.1-6ubuntu2) ... Setting up libdpkg-perl (1.22.6ubuntu6) ... Setting up libreadline8t64:arm64 (8.2-4build1) ... Setting up libgcc-13-dev:arm64 (13.2.0-23ubuntu3) ... Setting up gpgconf (2.4.4-2ubuntu17) ... Setting up libc6-dev:arm64 (2.39-0ubuntu8) ... Setting up gpg (2.4.4-2ubuntu17) ... Setting up libstdc++-13-dev:arm64 (13.2.0-23ubuntu3) ... Setting up gpg-agent (2.4.4-2ubuntu17) ... Setting up cpp-13 (13.2.0-23ubuntu3) ... Setting up libtirpc3t64:arm64 (1.3.4+ds-1.1build1) ... Setting up binutils-aarch64-linux-gnu (2.42-4ubuntu2) ... Setting up binutils (2.42-4ubuntu2) ... Setting up gcc-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Setting up dpkg-dev (1.22.6ubuntu6) ... Setting up libtirpc-dev:arm64 (1.3.4+ds-1.1build1) ... Setting up gcc-13 (13.2.0-23ubuntu3) ... Setting up cpp (4:13.2.0-7ubuntu2) ... Setting up libnsl2:arm64 (1.3.0-3build3) ... Setting up g++-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Setting up gcc-aarch64-linux-gnu (4:13.2.0-7ubuntu2) ... Setting up g++-13 (13.2.0-23ubuntu3) ... Setting up libnss-nisplus:arm64 (1.3-5build1) ... Setting up gcc (4:13.2.0-7ubuntu2) ... Setting up libnsl-dev:arm64 (1.3.0-3build3) ... Setting up g++-aarch64-linux-gnu (4:13.2.0-7ubuntu2) ... Setting up g++ (4:13.2.0-7ubuntu2) ... Setting up build-essential (12.10ubuntu2) ... Processing triggers for libc-bin (2.39-0ubuntu8) ... Processing triggers for debianutils (5.17build1) ... (Reading database ... 15845 files and directories currently installed.) Purging configuration files for libssl3:arm64 (3.0.10-1ubuntu2) ... Processing triggers for ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-28041381 arm64 noble-proposed -c chroot:build-PACKAGEBUILD-28041381 --arch=arm64 --dist=noble-proposed --nolog ghdl_4.0.0+dfsg-0ubuntu5.dsc Initiating build PACKAGEBUILD-28041381 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 5.4.0-173-generic #191-Ubuntu SMP Fri Feb 2 13:54:37 UTC 2024 aarch64 sbuild (Debian sbuild) 0.79.0 (05 February 2020) on bos01-arm64-005.buildd +==============================================================================+ | ghdl 4.0.0+dfsg-0ubuntu5 (arm64) Tue, 09 Apr 2024 07:41:36 +0000 | +==============================================================================+ Package: ghdl Version: 4.0.0+dfsg-0ubuntu5 Source Version: 4.0.0+dfsg-0ubuntu5 Distribution: noble-proposed Machine Architecture: arm64 Host Architecture: arm64 Build Architecture: arm64 Build Type: any I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-28041381/chroot-autobuild' with '<>' I: NOTICE: Log filtering will replace 'build/ghdl-fOaQ6A/resolver-UPGmKW' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- ghdl_4.0.0+dfsg-0ubuntu5.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/ghdl-fOaQ6A/ghdl-4.0.0+dfsg' with '<>' I: NOTICE: Log filtering will replace 'build/ghdl-fOaQ6A' with '<>' +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-13, gcc-13, g++-13, gcc-13-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev, clang, zlib1g-dev, build-essential, fakeroot Filtered Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-13, gcc-13, g++-13, gcc-13-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev, clang, zlib1g-dev, build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<>/apt_archive/sbuild-build-depends-main-dummy.deb'. Ign:1 copy:/<>/apt_archive ./ InRelease Get:2 copy:/<>/apt_archive ./ Release [957 B] Ign:3 copy:/<>/apt_archive ./ Release.gpg Get:4 copy:/<>/apt_archive ./ Sources [496 B] Get:5 copy:/<>/apt_archive ./ Packages [557 B] Fetched 2010 B in 0s (114 kB/s) Reading package lists... Reading package lists... Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: apt-utils bash-completion ca-certificates debconf-i18n krb5-locales libgpg-error-l10n libgpm2 libip4tc2 liblocale-gettext-perl libnsl-dev libnsl2 libnss-nis libnss-nisplus libperl5.36 libtext-charwidth-perl libtext-iconv-perl libtext-wrapi18n-perl libtirpc-common libtirpc-dev libtirpc3t64 libunistring2 openssl perl-modules-5.36 psmisc uuid-runtime Use 'apt autoremove' to remove them. The following additional packages will be installed: autoconf autoconf2.69 automake autopoint autotools-dev clang clang-18 debhelper debugedit dh-ada-library dh-autoreconf dh-strip-nondeterminism diffstat dwz ed file gawk gcc-13-source gettext gettext-base gnat gnat-13 gnat-13-aarch64-linux-gnu groff-base icu-devtools intltool-debian libarchive-zip-perl libbrotli1 libbsd0 libclang-common-18-dev libclang-cpp18 libclang1-18 libcurl4t64 libdebhelper-perl libdw1t64 libedit2 libelf1t64 libexpat1 libffi-dev libfile-stripnondeterminism-perl libgc1 libgmp-dev libgmpxx4ldbl libgnat-13 libicu-dev libicu74 libisl-dev libldap2 libllvm18 libmagic-mgc libmagic1t64 libmpc-dev libmpfr-dev libncurses-dev libncurses6 libnghttp2-14 libobjc-13-dev libobjc4 libpfm4 libpipeline1 libpsl5t64 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib librtmp1 libsasl2-2 libsasl2-modules-db libsigsegv2 libssh-4 libsub-override-perl libtext-unidecode-perl libtool libuchardet0 libxml-libxml-perl libxml-namespacesupport-perl libxml-sax-base-perl libxml-sax-perl libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-18 llvm-18-dev llvm-18-linker-tools llvm-18-runtime llvm-18-tools llvm-dev llvm-runtime lsb-release m4 man-db media-types netbase patchutils po-debconf python3 python3-minimal python3-pkg-resources python3-pygments python3-yaml python3.12 python3.12-minimal quilt sharutils tex-common texinfo texinfo-lib time ucf zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc clang-18-doc wasi-libc dh-make gprbuild gawk-doc gettext-doc libasprintf-dev libgettextpo-dev ada-reference-manual-2012 gnat-13-doc gnat-13-sjlj groff gmp-doc libgmp10-doc icu-doc libmpfr-doc ncurses-doc libtool-doc gfortran | fortran95-compiler gcj-jdk pkg-config llvm-18-doc m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv python3-setuptools python-pygments-doc ttf-bitstream-vera python3.12-venv python3.12-doc binfmt-support default-mta | mail-transport-agent graphviz procmail bsd-mailx | mailx sharutils-doc texlive-base texlive-latex-base texlive-plain-generic texlive-fonts-recommended Recommended packages: curl | wget | lynx libclang-rt-18-dev libarchive-cpio-perl libldap-common publicsuffix libsasl2-modules libltdl-dev libwww-perl libxml-sax-expat-perl libmail-sendmail-perl less The following NEW packages will be installed: autoconf autoconf2.69 automake autopoint autotools-dev clang clang-18 debhelper debugedit dh-ada-library dh-autoreconf dh-strip-nondeterminism diffstat dwz ed file gawk gcc-13-source gettext gettext-base gnat gnat-13 gnat-13-aarch64-linux-gnu groff-base icu-devtools intltool-debian libarchive-zip-perl libbrotli1 libbsd0 libclang-common-18-dev libclang-cpp18 libclang1-18 libcurl4t64 libdebhelper-perl libdw1t64 libedit2 libelf1t64 libexpat1 libffi-dev libfile-stripnondeterminism-perl libgc1 libgmp-dev libgmpxx4ldbl libgnat-13 libicu-dev libicu74 libisl-dev libldap2 libllvm18 libmagic-mgc libmagic1t64 libmpc-dev libmpfr-dev libncurses-dev libncurses6 libnghttp2-14 libobjc-13-dev libobjc4 libpfm4 libpipeline1 libpsl5t64 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib librtmp1 libsasl2-2 libsasl2-modules-db libsigsegv2 libssh-4 libsub-override-perl libtext-unidecode-perl libtool libuchardet0 libxml-libxml-perl libxml-namespacesupport-perl libxml-sax-base-perl libxml-sax-perl libxml2 libxml2-dev libyaml-0-2 libz3-4 libz3-dev llvm llvm-18 llvm-18-dev llvm-18-linker-tools llvm-18-runtime llvm-18-tools llvm-dev llvm-runtime lsb-release m4 man-db media-types netbase patchutils po-debconf python3 python3-minimal python3-pkg-resources python3-pygments python3-yaml python3.12 python3.12-minimal quilt sbuild-build-depends-main-dummy sharutils tex-common texinfo texinfo-lib time ucf zlib1g-dev 0 upgraded, 113 newly installed, 0 to remove and 0 not upgraded. Need to get 279 MB of archives. After this operation, 1103 MB of additional disk space will be used. Get:1 copy:/<>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [776 B] Get:2 http://ftpmaster.internal/ubuntu noble/main arm64 libpython3.12-minimal arm64 3.12.2-5ubuntu3 [829 kB] Get:3 http://ftpmaster.internal/ubuntu noble/main arm64 libexpat1 arm64 2.6.1-2build1 [76.1 kB] Get:4 http://ftpmaster.internal/ubuntu noble/main arm64 python3.12-minimal arm64 3.12.2-5ubuntu3 [2253 kB] Get:5 http://ftpmaster.internal/ubuntu noble/main arm64 python3-minimal arm64 3.12.2-0ubuntu2 [27.1 kB] Get:6 http://ftpmaster.internal/ubuntu noble/main arm64 media-types all 10.1.0 [27.5 kB] Get:7 http://ftpmaster.internal/ubuntu noble/main arm64 netbase all 6.4 [13.1 kB] Get:8 http://ftpmaster.internal/ubuntu noble/main arm64 libpython3.12-stdlib arm64 3.12.2-5ubuntu3 [2037 kB] Get:9 http://ftpmaster.internal/ubuntu noble/main arm64 python3.12 arm64 3.12.2-5ubuntu3 [644 kB] Get:10 http://ftpmaster.internal/ubuntu noble/main arm64 libpython3-stdlib arm64 3.12.2-0ubuntu2 [9854 B] Get:11 http://ftpmaster.internal/ubuntu noble/main arm64 python3 arm64 3.12.2-0ubuntu2 [24.1 kB] Get:12 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libsigsegv2 arm64 2.14-1ubuntu2 [14.9 kB] Get:13 http://ftpmaster.internal/ubuntu noble/main arm64 gawk arm64 1:5.2.1-2build3 [447 kB] Get:14 http://ftpmaster.internal/ubuntu noble/main arm64 libbsd0 arm64 0.12.1-1build1 [40.9 kB] Get:15 http://ftpmaster.internal/ubuntu noble/main arm64 libelf1t64 arm64 0.190-1.1build4 [58.0 kB] Get:16 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libicu74 arm64 74.2-1ubuntu3 [10.8 MB] Get:17 http://ftpmaster.internal/ubuntu noble/main arm64 libxml2 arm64 2.9.14+dfsg-1.3ubuntu3 [735 kB] Get:18 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libyaml-0-2 arm64 0.2.5-1build1 [51.5 kB] Get:19 http://ftpmaster.internal/ubuntu noble/main arm64 lsb-release all 12.0-2 [6564 B] Get:20 http://ftpmaster.internal/ubuntu noble/main arm64 python3-pkg-resources all 68.1.2-2ubuntu1 [168 kB] Get:21 http://ftpmaster.internal/ubuntu noble/main arm64 python3-pygments all 2.17.2+dfsg-1 [819 kB] Get:22 http://ftpmaster.internal/ubuntu noble/main arm64 python3-yaml arm64 6.0.1-2build2 [113 kB] Get:23 http://ftpmaster.internal/ubuntu noble/main arm64 ucf all 3.0043+nmu1 [56.5 kB] Get:24 http://ftpmaster.internal/ubuntu noble/main arm64 ed arm64 1.20.1-1 [55.7 kB] Get:25 http://ftpmaster.internal/ubuntu noble/main arm64 libmagic-mgc arm64 1:5.45-3build1 [307 kB] Get:26 http://ftpmaster.internal/ubuntu noble/main arm64 libmagic1t64 arm64 1:5.45-3build1 [85.7 kB] Get:27 http://ftpmaster.internal/ubuntu noble/main arm64 file arm64 1:5.45-3build1 [22.0 kB] Get:28 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 gettext-base arm64 0.21-14ubuntu2 [37.6 kB] Get:29 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libuchardet0 arm64 0.0.8-1build1 [75.4 kB] Get:30 http://ftpmaster.internal/ubuntu noble/main arm64 groff-base arm64 1.23.0-3build2 [993 kB] Get:31 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libedit2 arm64 3.1-20230828-1build1 [97.5 kB] Get:32 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libncurses6 arm64 6.4+20240113-1ubuntu2 [110 kB] Get:33 http://ftpmaster.internal/ubuntu noble/main arm64 libnghttp2-14 arm64 1.59.0-1build2 [74.0 kB] Get:34 http://ftpmaster.internal/ubuntu noble/main arm64 libpipeline1 arm64 1.5.7-2 [23.7 kB] Get:35 http://ftpmaster.internal/ubuntu noble/main arm64 libpsl5t64 arm64 0.21.2-1.1build1 [57.4 kB] Get:36 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 man-db arm64 2.12.0-4build2 [1232 kB] Get:37 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 time arm64 1.9-0.2build1 [45.0 kB] Get:38 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 m4 arm64 1.4.19-4build1 [240 kB] Get:39 http://ftpmaster.internal/ubuntu noble/main arm64 autoconf all 2.71-3 [339 kB] Get:40 http://ftpmaster.internal/ubuntu noble/universe arm64 autoconf2.69 all 2.69-3.1 [288 kB] Get:41 http://ftpmaster.internal/ubuntu noble/main arm64 autotools-dev all 20220109.1 [44.9 kB] Get:42 http://ftpmaster.internal/ubuntu noble/main arm64 automake all 1:1.16.5-1.3ubuntu1 [558 kB] Get:43 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 autopoint all 0.21-14ubuntu2 [422 kB] Get:44 http://ftpmaster.internal/ubuntu noble/main arm64 libllvm18 arm64 1:18.1.2-1ubuntu3 [26.4 MB] Get:45 http://ftpmaster.internal/ubuntu noble/main arm64 libclang-cpp18 arm64 1:18.1.2-1ubuntu3 [13.2 MB] Get:46 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgc1 arm64 1:8.2.6-1build1 [89.1 kB] Get:47 http://ftpmaster.internal/ubuntu noble/universe arm64 libobjc4 arm64 14-20240330-1ubuntu2 [45.3 kB] Get:48 http://ftpmaster.internal/ubuntu noble/universe arm64 libobjc-13-dev arm64 13.2.0-23ubuntu3 [190 kB] Get:49 http://ftpmaster.internal/ubuntu noble/universe arm64 libclang-common-18-dev arm64 1:18.1.2-1ubuntu3 [736 kB] Get:50 http://ftpmaster.internal/ubuntu noble/universe arm64 llvm-18-linker-tools arm64 1:18.1.2-1ubuntu3 [1234 kB] Get:51 http://ftpmaster.internal/ubuntu noble/main arm64 libclang1-18 arm64 1:18.1.2-1ubuntu3 [7530 kB] Get:52 http://ftpmaster.internal/ubuntu noble/universe arm64 clang-18 arm64 1:18.1.2-1ubuntu3 [78.4 kB] Get:53 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 clang arm64 1:18.0-59~exp2 [5854 B] Get:54 http://ftpmaster.internal/ubuntu noble/main arm64 libdebhelper-perl all 13.14.1ubuntu5 [89.8 kB] Get:55 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libtool all 2.4.7-7build1 [166 kB] Get:56 http://ftpmaster.internal/ubuntu noble/main arm64 dh-autoreconf all 20 [16.1 kB] Get:57 http://ftpmaster.internal/ubuntu noble/main arm64 libarchive-zip-perl all 1.68-1 [90.2 kB] Get:58 http://ftpmaster.internal/ubuntu noble/main arm64 libsub-override-perl all 0.10-1 [10.0 kB] Get:59 http://ftpmaster.internal/ubuntu noble/main arm64 libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] Get:60 http://ftpmaster.internal/ubuntu noble/main arm64 dh-strip-nondeterminism all 1.13.1-1 [5362 B] Get:61 http://ftpmaster.internal/ubuntu noble/main arm64 libdw1t64 arm64 0.190-1.1build4 [258 kB] Get:62 http://ftpmaster.internal/ubuntu noble/main arm64 debugedit arm64 1:5.0-5build2 [45.3 kB] Get:63 http://ftpmaster.internal/ubuntu noble/main arm64 dwz arm64 0.15-1build6 [113 kB] Get:64 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 gettext arm64 0.21-14ubuntu2 [845 kB] Get:65 http://ftpmaster.internal/ubuntu noble/main arm64 intltool-debian all 0.35.0+20060710.6 [23.2 kB] Get:66 http://ftpmaster.internal/ubuntu noble/main arm64 po-debconf all 1.0.21+nmu1 [233 kB] Get:67 http://ftpmaster.internal/ubuntu noble/main arm64 debhelper all 13.14.1ubuntu5 [869 kB] Get:68 http://ftpmaster.internal/ubuntu noble/universe arm64 libgnat-13 arm64 13.2.0-23ubuntu3 [1242 kB] Get:69 http://ftpmaster.internal/ubuntu noble/universe arm64 gnat-13-aarch64-linux-gnu arm64 13.2.0-23ubuntu3 [18.3 MB] Get:70 http://ftpmaster.internal/ubuntu noble/universe arm64 gnat-13 arm64 13.2.0-23ubuntu3 [24.4 kB] Get:71 http://ftpmaster.internal/ubuntu noble/universe arm64 gnat arm64 13.2ubuntu2 [3246 B] Get:72 http://ftpmaster.internal/ubuntu noble/universe arm64 dh-ada-library all 9.3 [18.2 kB] Get:73 http://ftpmaster.internal/ubuntu noble/main arm64 diffstat arm64 1.66-1build1 [28.1 kB] Get:74 http://ftpmaster.internal/ubuntu noble/universe arm64 quilt all 0.67+really0.67-4 [436 kB] Get:75 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 patchutils arm64 0.4.2-1build3 [75.3 kB] Get:76 http://ftpmaster.internal/ubuntu noble/universe arm64 sharutils arm64 1:4.15.2-9 [261 kB] Get:77 http://ftpmaster.internal/ubuntu noble/universe arm64 gcc-13-source all 13.2.0-23ubuntu3 [89.8 MB] Get:78 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 icu-devtools arm64 74.2-1ubuntu3 [209 kB] Get:79 http://ftpmaster.internal/ubuntu noble/main arm64 libbrotli1 arm64 1.1.0-2build2 [339 kB] Get:80 http://ftpmaster.internal/ubuntu noble/main arm64 libsasl2-modules-db arm64 2.1.28+dfsg1-5ubuntu3 [21.4 kB] Get:81 http://ftpmaster.internal/ubuntu noble/main arm64 libsasl2-2 arm64 2.1.28+dfsg1-5ubuntu3 [54.7 kB] Get:82 http://ftpmaster.internal/ubuntu noble/main arm64 libldap2 arm64 2.6.7+dfsg-1~exp1ubuntu8 [193 kB] Get:83 http://ftpmaster.internal/ubuntu noble/main arm64 librtmp1 arm64 2.4+20151223.gitfa8646d.1-2build7 [57.8 kB] Get:84 http://ftpmaster.internal/ubuntu noble/main arm64 libssh-4 arm64 0.10.6-2build2 [189 kB] Get:85 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libcurl4t64 arm64 8.5.0-2ubuntu10 [333 kB] Get:86 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgmpxx4ldbl arm64 2:6.3.0+dfsg-2ubuntu5 [10.1 kB] Get:87 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libgmp-dev arm64 2:6.3.0+dfsg-2ubuntu5 [334 kB] Get:88 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libicu-dev arm64 74.2-1ubuntu3 [11.9 MB] Get:89 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libisl-dev arm64 0.26-3build1 [942 kB] Get:90 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libmpfr-dev arm64 4.2.1-1build1 [289 kB] Get:91 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libncurses-dev arm64 6.4+20240113-1ubuntu2 [385 kB] Get:92 http://ftpmaster.internal/ubuntu noble/universe arm64 libtext-unidecode-perl all 1.30-3 [105 kB] Get:93 http://ftpmaster.internal/ubuntu noble/main arm64 libxml-namespacesupport-perl all 1.12-2 [13.5 kB] Get:94 http://ftpmaster.internal/ubuntu noble/main arm64 libxml-sax-base-perl all 1.09-3 [18.9 kB] Get:95 http://ftpmaster.internal/ubuntu noble/main arm64 libxml-sax-perl all 1.02+dfsg-3 [57.0 kB] Get:96 http://ftpmaster.internal/ubuntu noble/main arm64 libxml-libxml-perl arm64 2.0207+dfsg+really+2.0134-1build4 [296 kB] Get:97 http://ftpmaster.internal/ubuntu noble/main arm64 libxml2-dev arm64 2.9.14+dfsg-1.3ubuntu3 [798 kB] Get:98 http://ftpmaster.internal/ubuntu noble/universe arm64 llvm-18-runtime arm64 1:18.1.2-1ubuntu3 [521 kB] Get:99 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 llvm-runtime arm64 1:18.0-59~exp2 [5498 B] Get:100 http://ftpmaster.internal/ubuntu noble/universe arm64 libpfm4 arm64 4.13.0+git32-g0d4ed0e-1 [59.6 kB] Get:101 http://ftpmaster.internal/ubuntu noble/universe arm64 llvm-18 arm64 1:18.1.2-1ubuntu3 [24.3 MB] Get:102 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 llvm arm64 1:18.0-59~exp2 [4150 B] Get:103 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libffi-dev arm64 3.4.6-1build1 [59.5 kB] Get:104 http://ftpmaster.internal/ubuntu noble/universe arm64 llvm-18-tools arm64 1:18.1.2-1ubuntu3 [533 kB] Get:105 http://ftpmaster.internal/ubuntu noble/universe arm64 libz3-4 arm64 4.8.12-3.1 [5338 kB] Get:106 http://ftpmaster.internal/ubuntu noble/universe arm64 libz3-dev arm64 4.8.12-3.1 [72.2 kB] Get:107 http://ftpmaster.internal/ubuntu noble/universe arm64 llvm-18-dev arm64 1:18.1.2-1ubuntu3 [42.2 MB] Get:108 http://ftpmaster.internal/ubuntu noble-proposed/universe arm64 llvm-dev arm64 1:18.0-59~exp2 [1926 B] Get:109 http://ftpmaster.internal/ubuntu noble/universe arm64 tex-common all 6.18 [32.8 kB] Get:110 http://ftpmaster.internal/ubuntu noble/universe arm64 texinfo-lib arm64 7.1-3build2 [130 kB] Get:111 http://ftpmaster.internal/ubuntu noble/universe arm64 texinfo all 7.1-3build2 [1273 kB] Get:112 http://ftpmaster.internal/ubuntu noble/main arm64 zlib1g-dev arm64 1:1.3.dfsg-3.1ubuntu2 [894 kB] Get:113 http://ftpmaster.internal/ubuntu noble-proposed/main arm64 libmpc-dev arm64 1.3.1-1build1 [71.0 kB] Preconfiguring packages ... Fetched 279 MB in 10s (29.1 MB/s) Selecting previously unselected package libpython3.12-minimal:arm64. (Reading database ... 15845 files and directories currently installed.) Preparing to unpack .../libpython3.12-minimal_3.12.2-5ubuntu3_arm64.deb ... Unpacking libpython3.12-minimal:arm64 (3.12.2-5ubuntu3) ... Selecting previously unselected package libexpat1:arm64. Preparing to unpack .../libexpat1_2.6.1-2build1_arm64.deb ... Unpacking libexpat1:arm64 (2.6.1-2build1) ... Selecting previously unselected package python3.12-minimal. Preparing to unpack .../python3.12-minimal_3.12.2-5ubuntu3_arm64.deb ... Unpacking python3.12-minimal (3.12.2-5ubuntu3) ... Setting up libpython3.12-minimal:arm64 (3.12.2-5ubuntu3) ... Setting up libexpat1:arm64 (2.6.1-2build1) ... Setting up python3.12-minimal (3.12.2-5ubuntu3) ... Selecting previously unselected package python3-minimal. (Reading database ... 16163 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.12.2-0ubuntu2_arm64.deb ... Unpacking python3-minimal (3.12.2-0ubuntu2) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.1.0_all.deb ... Unpacking media-types (10.1.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.4_all.deb ... Unpacking netbase (6.4) ... Selecting previously unselected package libpython3.12-stdlib:arm64. Preparing to unpack .../3-libpython3.12-stdlib_3.12.2-5ubuntu3_arm64.deb ... Unpacking libpython3.12-stdlib:arm64 (3.12.2-5ubuntu3) ... Selecting previously unselected package python3.12. Preparing to unpack .../4-python3.12_3.12.2-5ubuntu3_arm64.deb ... Unpacking python3.12 (3.12.2-5ubuntu3) ... Selecting previously unselected package libpython3-stdlib:arm64. Preparing to unpack .../5-libpython3-stdlib_3.12.2-0ubuntu2_arm64.deb ... Unpacking libpython3-stdlib:arm64 (3.12.2-0ubuntu2) ... Setting up python3-minimal (3.12.2-0ubuntu2) ... Selecting previously unselected package python3. (Reading database ... 16604 files and directories currently installed.) Preparing to unpack .../python3_3.12.2-0ubuntu2_arm64.deb ... Unpacking python3 (3.12.2-0ubuntu2) ... Selecting previously unselected package libsigsegv2:arm64. Preparing to unpack .../libsigsegv2_2.14-1ubuntu2_arm64.deb ... Unpacking libsigsegv2:arm64 (2.14-1ubuntu2) ... Setting up libsigsegv2:arm64 (2.14-1ubuntu2) ... Selecting previously unselected package gawk. (Reading database ... 16632 files and directories currently installed.) Preparing to unpack .../000-gawk_1%3a5.2.1-2build3_arm64.deb ... Unpacking gawk (1:5.2.1-2build3) ... Selecting previously unselected package libbsd0:arm64. Preparing to unpack .../001-libbsd0_0.12.1-1build1_arm64.deb ... Unpacking libbsd0:arm64 (0.12.1-1build1) ... Selecting previously unselected package libelf1t64:arm64. Preparing to unpack .../002-libelf1t64_0.190-1.1build4_arm64.deb ... Unpacking libelf1t64:arm64 (0.190-1.1build4) ... Selecting previously unselected package libicu74:arm64. Preparing to unpack .../003-libicu74_74.2-1ubuntu3_arm64.deb ... Unpacking libicu74:arm64 (74.2-1ubuntu3) ... Selecting previously unselected package libxml2:arm64. Preparing to unpack .../004-libxml2_2.9.14+dfsg-1.3ubuntu3_arm64.deb ... Unpacking libxml2:arm64 (2.9.14+dfsg-1.3ubuntu3) ... Selecting previously unselected package libyaml-0-2:arm64. Preparing to unpack .../005-libyaml-0-2_0.2.5-1build1_arm64.deb ... Unpacking libyaml-0-2:arm64 (0.2.5-1build1) ... Selecting previously unselected package lsb-release. Preparing to unpack .../006-lsb-release_12.0-2_all.deb ... Unpacking lsb-release (12.0-2) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../007-python3-pkg-resources_68.1.2-2ubuntu1_all.deb ... Unpacking python3-pkg-resources (68.1.2-2ubuntu1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../008-python3-pygments_2.17.2+dfsg-1_all.deb ... Unpacking python3-pygments (2.17.2+dfsg-1) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../009-python3-yaml_6.0.1-2build2_arm64.deb ... Unpacking python3-yaml (6.0.1-2build2) ... Selecting previously unselected package ucf. Preparing to unpack .../010-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package ed. Preparing to unpack .../011-ed_1.20.1-1_arm64.deb ... Unpacking ed (1.20.1-1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../012-libmagic-mgc_1%3a5.45-3build1_arm64.deb ... Unpacking libmagic-mgc (1:5.45-3build1) ... Selecting previously unselected package libmagic1t64:arm64. Preparing to unpack .../013-libmagic1t64_1%3a5.45-3build1_arm64.deb ... Unpacking libmagic1t64:arm64 (1:5.45-3build1) ... Selecting previously unselected package file. Preparing to unpack .../014-file_1%3a5.45-3build1_arm64.deb ... Unpacking file (1:5.45-3build1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../015-gettext-base_0.21-14ubuntu2_arm64.deb ... Unpacking gettext-base (0.21-14ubuntu2) ... Selecting previously unselected package libuchardet0:arm64. Preparing to unpack .../016-libuchardet0_0.0.8-1build1_arm64.deb ... Unpacking libuchardet0:arm64 (0.0.8-1build1) ... Selecting previously unselected package groff-base. Preparing to unpack .../017-groff-base_1.23.0-3build2_arm64.deb ... Unpacking groff-base (1.23.0-3build2) ... Selecting previously unselected package libedit2:arm64. Preparing to unpack .../018-libedit2_3.1-20230828-1build1_arm64.deb ... Unpacking libedit2:arm64 (3.1-20230828-1build1) ... Selecting previously unselected package libncurses6:arm64. Preparing to unpack .../019-libncurses6_6.4+20240113-1ubuntu2_arm64.deb ... Unpacking libncurses6:arm64 (6.4+20240113-1ubuntu2) ... Selecting previously unselected package libnghttp2-14:arm64. Preparing to unpack .../020-libnghttp2-14_1.59.0-1build2_arm64.deb ... Unpacking libnghttp2-14:arm64 (1.59.0-1build2) ... Selecting previously unselected package libpipeline1:arm64. Preparing to unpack .../021-libpipeline1_1.5.7-2_arm64.deb ... Unpacking libpipeline1:arm64 (1.5.7-2) ... Selecting previously unselected package libpsl5t64:arm64. Preparing to unpack .../022-libpsl5t64_0.21.2-1.1build1_arm64.deb ... Unpacking libpsl5t64:arm64 (0.21.2-1.1build1) ... Selecting previously unselected package man-db. Preparing to unpack .../023-man-db_2.12.0-4build2_arm64.deb ... Unpacking man-db (2.12.0-4build2) ... Selecting previously unselected package time. Preparing to unpack .../024-time_1.9-0.2build1_arm64.deb ... Unpacking time (1.9-0.2build1) ... Selecting previously unselected package m4. Preparing to unpack .../025-m4_1.4.19-4build1_arm64.deb ... Unpacking m4 (1.4.19-4build1) ... Selecting previously unselected package autoconf. Preparing to unpack .../026-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autoconf2.69. Preparing to unpack .../027-autoconf2.69_2.69-3.1_all.deb ... Unpacking autoconf2.69 (2.69-3.1) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../028-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../029-automake_1%3a1.16.5-1.3ubuntu1_all.deb ... Unpacking automake (1:1.16.5-1.3ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../030-autopoint_0.21-14ubuntu2_all.deb ... Unpacking autopoint (0.21-14ubuntu2) ... Selecting previously unselected package libllvm18:arm64. Preparing to unpack .../031-libllvm18_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking libllvm18:arm64 (1:18.1.2-1ubuntu3) ... Selecting previously unselected package libclang-cpp18. Preparing to unpack .../032-libclang-cpp18_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking libclang-cpp18 (1:18.1.2-1ubuntu3) ... Selecting previously unselected package libgc1:arm64. Preparing to unpack .../033-libgc1_1%3a8.2.6-1build1_arm64.deb ... Unpacking libgc1:arm64 (1:8.2.6-1build1) ... Selecting previously unselected package libobjc4:arm64. Preparing to unpack .../034-libobjc4_14-20240330-1ubuntu2_arm64.deb ... Unpacking libobjc4:arm64 (14-20240330-1ubuntu2) ... Selecting previously unselected package libobjc-13-dev:arm64. Preparing to unpack .../035-libobjc-13-dev_13.2.0-23ubuntu3_arm64.deb ... Unpacking libobjc-13-dev:arm64 (13.2.0-23ubuntu3) ... Selecting previously unselected package libclang-common-18-dev:arm64. Preparing to unpack .../036-libclang-common-18-dev_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking libclang-common-18-dev:arm64 (1:18.1.2-1ubuntu3) ... Selecting previously unselected package llvm-18-linker-tools. Preparing to unpack .../037-llvm-18-linker-tools_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking llvm-18-linker-tools (1:18.1.2-1ubuntu3) ... Selecting previously unselected package libclang1-18. Preparing to unpack .../038-libclang1-18_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking libclang1-18 (1:18.1.2-1ubuntu3) ... Selecting previously unselected package clang-18. Preparing to unpack .../039-clang-18_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking clang-18 (1:18.1.2-1ubuntu3) ... Selecting previously unselected package clang. Preparing to unpack .../040-clang_1%3a18.0-59~exp2_arm64.deb ... Unpacking clang (1:18.0-59~exp2) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../041-libdebhelper-perl_13.14.1ubuntu5_all.deb ... Unpacking libdebhelper-perl (13.14.1ubuntu5) ... Selecting previously unselected package libtool. Preparing to unpack .../042-libtool_2.4.7-7build1_all.deb ... Unpacking libtool (2.4.7-7build1) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../043-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../044-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../045-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../046-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../047-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libdw1t64:arm64. Preparing to unpack .../048-libdw1t64_0.190-1.1build4_arm64.deb ... Unpacking libdw1t64:arm64 (0.190-1.1build4) ... Selecting previously unselected package debugedit. Preparing to unpack .../049-debugedit_1%3a5.0-5build2_arm64.deb ... Unpacking debugedit (1:5.0-5build2) ... Selecting previously unselected package dwz. Preparing to unpack .../050-dwz_0.15-1build6_arm64.deb ... Unpacking dwz (0.15-1build6) ... Selecting previously unselected package gettext. Preparing to unpack .../051-gettext_0.21-14ubuntu2_arm64.deb ... Unpacking gettext (0.21-14ubuntu2) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../052-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../053-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../054-debhelper_13.14.1ubuntu5_all.deb ... Unpacking debhelper (13.14.1ubuntu5) ... Selecting previously unselected package libgnat-13:arm64. Preparing to unpack .../055-libgnat-13_13.2.0-23ubuntu3_arm64.deb ... Unpacking libgnat-13:arm64 (13.2.0-23ubuntu3) ... Selecting previously unselected package gnat-13-aarch64-linux-gnu. Preparing to unpack .../056-gnat-13-aarch64-linux-gnu_13.2.0-23ubuntu3_arm64.deb ... Unpacking gnat-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Selecting previously unselected package gnat-13. Preparing to unpack .../057-gnat-13_13.2.0-23ubuntu3_arm64.deb ... Unpacking gnat-13 (13.2.0-23ubuntu3) ... Selecting previously unselected package gnat. Preparing to unpack .../058-gnat_13.2ubuntu2_arm64.deb ... Unpacking gnat (13.2ubuntu2) ... Selecting previously unselected package dh-ada-library. Preparing to unpack .../059-dh-ada-library_9.3_all.deb ... Unpacking dh-ada-library (9.3) ... Selecting previously unselected package diffstat. Preparing to unpack .../060-diffstat_1.66-1build1_arm64.deb ... Unpacking diffstat (1.66-1build1) ... Selecting previously unselected package quilt. Preparing to unpack .../061-quilt_0.67+really0.67-4_all.deb ... Unpacking quilt (0.67+really0.67-4) ... Selecting previously unselected package patchutils. Preparing to unpack .../062-patchutils_0.4.2-1build3_arm64.deb ... Unpacking patchutils (0.4.2-1build3) ... Selecting previously unselected package sharutils. Preparing to unpack .../063-sharutils_1%3a4.15.2-9_arm64.deb ... Unpacking sharutils (1:4.15.2-9) ... Selecting previously unselected package gcc-13-source. Preparing to unpack .../064-gcc-13-source_13.2.0-23ubuntu3_all.deb ... Unpacking gcc-13-source (13.2.0-23ubuntu3) ... Selecting previously unselected package icu-devtools. Preparing to unpack .../065-icu-devtools_74.2-1ubuntu3_arm64.deb ... Unpacking icu-devtools (74.2-1ubuntu3) ... Selecting previously unselected package libbrotli1:arm64. Preparing to unpack .../066-libbrotli1_1.1.0-2build2_arm64.deb ... Unpacking libbrotli1:arm64 (1.1.0-2build2) ... Selecting previously unselected package libsasl2-modules-db:arm64. Preparing to unpack .../067-libsasl2-modules-db_2.1.28+dfsg1-5ubuntu3_arm64.deb ... Unpacking libsasl2-modules-db:arm64 (2.1.28+dfsg1-5ubuntu3) ... Selecting previously unselected package libsasl2-2:arm64. Preparing to unpack .../068-libsasl2-2_2.1.28+dfsg1-5ubuntu3_arm64.deb ... Unpacking libsasl2-2:arm64 (2.1.28+dfsg1-5ubuntu3) ... Selecting previously unselected package libldap2:arm64. Preparing to unpack .../069-libldap2_2.6.7+dfsg-1~exp1ubuntu8_arm64.deb ... Unpacking libldap2:arm64 (2.6.7+dfsg-1~exp1ubuntu8) ... Selecting previously unselected package librtmp1:arm64. Preparing to unpack .../070-librtmp1_2.4+20151223.gitfa8646d.1-2build7_arm64.deb ... Unpacking librtmp1:arm64 (2.4+20151223.gitfa8646d.1-2build7) ... Selecting previously unselected package libssh-4:arm64. Preparing to unpack .../071-libssh-4_0.10.6-2build2_arm64.deb ... Unpacking libssh-4:arm64 (0.10.6-2build2) ... Selecting previously unselected package libcurl4t64:arm64. Preparing to unpack .../072-libcurl4t64_8.5.0-2ubuntu10_arm64.deb ... Unpacking libcurl4t64:arm64 (8.5.0-2ubuntu10) ... Selecting previously unselected package libgmpxx4ldbl:arm64. Preparing to unpack .../073-libgmpxx4ldbl_2%3a6.3.0+dfsg-2ubuntu5_arm64.deb ... Unpacking libgmpxx4ldbl:arm64 (2:6.3.0+dfsg-2ubuntu5) ... Selecting previously unselected package libgmp-dev:arm64. Preparing to unpack .../074-libgmp-dev_2%3a6.3.0+dfsg-2ubuntu5_arm64.deb ... Unpacking libgmp-dev:arm64 (2:6.3.0+dfsg-2ubuntu5) ... Selecting previously unselected package libicu-dev:arm64. Preparing to unpack .../075-libicu-dev_74.2-1ubuntu3_arm64.deb ... Unpacking libicu-dev:arm64 (74.2-1ubuntu3) ... Selecting previously unselected package libisl-dev:arm64. Preparing to unpack .../076-libisl-dev_0.26-3build1_arm64.deb ... Unpacking libisl-dev:arm64 (0.26-3build1) ... Selecting previously unselected package libmpfr-dev:arm64. Preparing to unpack .../077-libmpfr-dev_4.2.1-1build1_arm64.deb ... Unpacking libmpfr-dev:arm64 (4.2.1-1build1) ... Selecting previously unselected package libncurses-dev:arm64. Preparing to unpack .../078-libncurses-dev_6.4+20240113-1ubuntu2_arm64.deb ... Unpacking libncurses-dev:arm64 (6.4+20240113-1ubuntu2) ... Selecting previously unselected package libtext-unidecode-perl. Preparing to unpack .../079-libtext-unidecode-perl_1.30-3_all.deb ... Unpacking libtext-unidecode-perl (1.30-3) ... Selecting previously unselected package libxml-namespacesupport-perl. Preparing to unpack .../080-libxml-namespacesupport-perl_1.12-2_all.deb ... Unpacking libxml-namespacesupport-perl (1.12-2) ... Selecting previously unselected package libxml-sax-base-perl. Preparing to unpack .../081-libxml-sax-base-perl_1.09-3_all.deb ... Unpacking libxml-sax-base-perl (1.09-3) ... Selecting previously unselected package libxml-sax-perl. Preparing to unpack .../082-libxml-sax-perl_1.02+dfsg-3_all.deb ... Unpacking libxml-sax-perl (1.02+dfsg-3) ... Selecting previously unselected package libxml-libxml-perl. Preparing to unpack .../083-libxml-libxml-perl_2.0207+dfsg+really+2.0134-1build4_arm64.deb ... Unpacking libxml-libxml-perl (2.0207+dfsg+really+2.0134-1build4) ... Selecting previously unselected package libxml2-dev:arm64. Preparing to unpack .../084-libxml2-dev_2.9.14+dfsg-1.3ubuntu3_arm64.deb ... Unpacking libxml2-dev:arm64 (2.9.14+dfsg-1.3ubuntu3) ... Selecting previously unselected package llvm-18-runtime. Preparing to unpack .../085-llvm-18-runtime_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking llvm-18-runtime (1:18.1.2-1ubuntu3) ... Selecting previously unselected package llvm-runtime:arm64. Preparing to unpack .../086-llvm-runtime_1%3a18.0-59~exp2_arm64.deb ... Unpacking llvm-runtime:arm64 (1:18.0-59~exp2) ... Selecting previously unselected package libpfm4:arm64. Preparing to unpack .../087-libpfm4_4.13.0+git32-g0d4ed0e-1_arm64.deb ... Unpacking libpfm4:arm64 (4.13.0+git32-g0d4ed0e-1) ... Selecting previously unselected package llvm-18. Preparing to unpack .../088-llvm-18_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking llvm-18 (1:18.1.2-1ubuntu3) ... Selecting previously unselected package llvm. Preparing to unpack .../089-llvm_1%3a18.0-59~exp2_arm64.deb ... Unpacking llvm (1:18.0-59~exp2) ... Selecting previously unselected package libffi-dev:arm64. Preparing to unpack .../090-libffi-dev_3.4.6-1build1_arm64.deb ... Unpacking libffi-dev:arm64 (3.4.6-1build1) ... Selecting previously unselected package llvm-18-tools. Preparing to unpack .../091-llvm-18-tools_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking llvm-18-tools (1:18.1.2-1ubuntu3) ... Selecting previously unselected package libz3-4:arm64. Preparing to unpack .../092-libz3-4_4.8.12-3.1_arm64.deb ... Unpacking libz3-4:arm64 (4.8.12-3.1) ... Selecting previously unselected package libz3-dev:arm64. Preparing to unpack .../093-libz3-dev_4.8.12-3.1_arm64.deb ... Unpacking libz3-dev:arm64 (4.8.12-3.1) ... Selecting previously unselected package llvm-18-dev. Preparing to unpack .../094-llvm-18-dev_1%3a18.1.2-1ubuntu3_arm64.deb ... Unpacking llvm-18-dev (1:18.1.2-1ubuntu3) ... Selecting previously unselected package llvm-dev. Preparing to unpack .../095-llvm-dev_1%3a18.0-59~exp2_arm64.deb ... Unpacking llvm-dev (1:18.0-59~exp2) ... Selecting previously unselected package tex-common. Preparing to unpack .../096-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package texinfo-lib. Preparing to unpack .../097-texinfo-lib_7.1-3build2_arm64.deb ... Unpacking texinfo-lib (7.1-3build2) ... Selecting previously unselected package texinfo. Preparing to unpack .../098-texinfo_7.1-3build2_all.deb ... Unpacking texinfo (7.1-3build2) ... Selecting previously unselected package zlib1g-dev:arm64. Preparing to unpack .../099-zlib1g-dev_1%3a1.3.dfsg-3.1ubuntu2_arm64.deb ... Unpacking zlib1g-dev:arm64 (1:1.3.dfsg-3.1ubuntu2) ... Selecting previously unselected package libmpc-dev:arm64. Preparing to unpack .../100-libmpc-dev_1.3.1-1build1_arm64.deb ... Unpacking libmpc-dev:arm64 (1.3.1-1build1) ... Selecting previously unselected package sbuild-build-depends-main-dummy. Preparing to unpack .../101-sbuild-build-depends-main-dummy_0.invalid.0_arm64.deb ... Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ... Setting up media-types (10.1.0) ... Setting up libpipeline1:arm64 (1.5.7-2) ... Setting up time (1.9-0.2build1) ... Setting up libmagic-mgc (1:5.45-3build1) ... Setting up gawk (1:5.2.1-2build3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:arm64 (0.2.5-1build1) ... Setting up libisl-dev:arm64 (0.26-3build1) ... Setting up libdebhelper-perl (13.14.1ubuntu5) ... Setting up libbrotli1:arm64 (1.1.0-2build2) ... Setting up libmagic1t64:arm64 (1:5.45-3build1) ... Setting up libpsl5t64:arm64 (0.21.2-1.1build1) ... Setting up libnghttp2-14:arm64 (1.59.0-1build2) ... Setting up libxml-namespacesupport-perl (1.12-2) ... Setting up gettext-base (0.21-14ubuntu2) ... Setting up m4 (1.4.19-4build1) ... Setting up file (1:5.45-3build1) ... Setting up texinfo-lib (7.1-3build2) ... Setting up libffi-dev:arm64 (3.4.6-1build1) ... Setting up libelf1t64:arm64 (0.190-1.1build4) ... Setting up libdw1t64:arm64 (0.190-1.1build4) ... Setting up libsasl2-modules-db:arm64 (2.1.28+dfsg1-5ubuntu3) ... Setting up patchutils (0.4.2-1build3) ... Setting up libxml-sax-base-perl (1.09-3) ... Setting up autotools-dev (20220109.1) ... Setting up libz3-4:arm64 (4.8.12-3.1) ... Setting up libgmpxx4ldbl:arm64 (2:6.3.0+dfsg-2ubuntu5) ... Setting up libpfm4:arm64 (4.13.0+git32-g0d4ed0e-1) ... Setting up ed (1.20.1-1) ... Setting up librtmp1:arm64 (2.4+20151223.gitfa8646d.1-2build7) ... Setting up libncurses6:arm64 (6.4+20240113-1ubuntu2) ... Setting up diffstat (1.66-1build1) ... Setting up autopoint (0.21-14ubuntu2) ... Setting up ucf (3.0043+nmu1) ... Setting up libclang-common-18-dev:arm64 (1:18.1.2-1ubuntu3) ... Setting up libgnat-13:arm64 (13.2.0-23ubuntu3) ... Setting up libgc1:arm64 (1:8.2.6-1build1) ... Setting up libsasl2-2:arm64 (2.1.28+dfsg1-5ubuntu3) ... Setting up libssh-4:arm64 (0.10.6-2build2) ... Setting up autoconf (2.71-3) ... Setting up libicu74:arm64 (74.2-1ubuntu3) ... Setting up zlib1g-dev:arm64 (1:1.3.dfsg-3.1ubuntu2) ... Setting up dwz (0.15-1build6) ... Setting up libuchardet0:arm64 (0.0.8-1build1) ... Setting up debugedit (1:5.0-5build2) ... Setting up libsub-override-perl (0.10-1) ... Setting up netbase (6.4) ... Setting up sharutils (1:4.15.2-9) ... Setting up lsb-release (12.0-2) ... Setting up libtext-unidecode-perl (1.30-3) ... Setting up libbsd0:arm64 (0.12.1-1build1) ... Setting up libxml2:arm64 (2.9.14+dfsg-1.3ubuntu3) ... Setting up libldap2:arm64 (2.6.7+dfsg-1~exp1ubuntu8) ... Setting up automake (1:1.16.5-1.3ubuntu1) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libz3-dev:arm64 (4.8.12-3.1) ... Setting up libncurses-dev:arm64 (6.4+20240113-1ubuntu2) ... Setting up gettext (0.21-14ubuntu2) ... Setting up libgmp-dev:arm64 (2:6.3.0+dfsg-2ubuntu5) ... Setting up libpython3.12-stdlib:arm64 (3.12.2-5ubuntu3) ... Setting up libcurl4t64:arm64 (8.5.0-2ubuntu10) ... Setting up libtool (2.4.7-7build1) ... Setting up python3.12 (3.12.2-5ubuntu3) ... Setting up libedit2:arm64 (3.1-20230828-1build1) ... Setting up libobjc4:arm64 (14-20240330-1ubuntu2) ... Setting up quilt (0.67+really0.67-4) ... Setting up libmpfr-dev:arm64 (4.2.1-1build1) ... Setting up libxml-sax-perl (1.02+dfsg-3) ... update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up intltool-debian (0.35.0+20060710.6) ... Setting up autoconf2.69 (2.69-3.1) ... Setting up dh-autoreconf (20) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up gcc-13-source (13.2.0-23ubuntu3) ... Setting up icu-devtools (74.2-1ubuntu3) ... Setting up gnat-13-aarch64-linux-gnu (13.2.0-23ubuntu3) ... Setting up libmpc-dev:arm64 (1.3.1-1build1) ... Setting up libxml-libxml-perl (2.0207+dfsg+really+2.0134-1build4) ... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up groff-base (1.23.0-3build2) ... Setting up gnat-13 (13.2.0-23ubuntu3) ... Setting up libicu-dev:arm64 (74.2-1ubuntu3) ... Setting up libobjc-13-dev:arm64 (13.2.0-23ubuntu3) ... Setting up libpython3-stdlib:arm64 (3.12.2-0ubuntu2) ... Setting up libllvm18:arm64 (1:18.1.2-1ubuntu3) ... Setting up libclang1-18 (1:18.1.2-1ubuntu3) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up python3 (3.12.2-0ubuntu2) ... Setting up man-db (2.12.0-4build2) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /usr/lib/systemd/system/man-db.timer. Setting up libxml2-dev:arm64 (2.9.14+dfsg-1.3ubuntu3) ... Setting up texinfo (7.1-3build2) ... Setting up llvm-18-linker-tools (1:18.1.2-1ubuntu3) ... Setting up gnat (13.2ubuntu2) ... Setting up llvm-18-runtime (1:18.1.2-1ubuntu3) ... Setting up libclang-cpp18 (1:18.1.2-1ubuntu3) ... Setting up python3-pkg-resources (68.1.2-2ubuntu1) ... Setting up python3-yaml (6.0.1-2build2) ... Setting up debhelper (13.14.1ubuntu5) ... Setting up clang-18 (1:18.1.2-1ubuntu3) ... Setting up python3-pygments (2.17.2+dfsg-1) ... Setting up clang (1:18.0-59~exp2) ... Setting up llvm-runtime:arm64 (1:18.0-59~exp2) ... Setting up llvm-18 (1:18.1.2-1ubuntu3) ... Setting up dh-ada-library (9.3) ... Setting up llvm-18-tools (1:18.1.2-1ubuntu3) ... Setting up llvm (1:18.0-59~exp2) ... Setting up llvm-18-dev (1:18.1.2-1ubuntu3) ... Setting up llvm-dev (1:18.0-59~exp2) ... Setting up sbuild-build-depends-main-dummy (0.invalid.0) ... Processing triggers for systemd (255.4-1ubuntu7) ... Processing triggers for libc-bin (2.39-0ubuntu8) ... +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (arm64 included in any) +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 5.4.0-173-generic #191-Ubuntu SMP Fri Feb 2 13:54:37 UTC 2024 arm64 (aarch64) Toolchain package versions: binutils_2.42-4ubuntu2 dpkg-dev_1.22.6ubuntu6 g++-13_13.2.0-23ubuntu3 gcc-13_13.2.0-23ubuntu3 libc6-dev_2.39-0ubuntu8 libstdc++-13-dev_13.2.0-23ubuntu3 libstdc++6_14-20240330-1ubuntu2 linux-libc-dev_6.8.0-22.22 Package versions: adduser_3.137ubuntu1 advancecomp_2.5-1build1 apt_2.7.14build2 apt-utils_2.7.14build2 autoconf_2.71-3 autoconf2.69_2.69-3.1 automake_1:1.16.5-1.3ubuntu1 autopoint_0.21-14ubuntu2 autotools-dev_20220109.1 base-files_13ubuntu9 base-passwd_3.6.3build1 bash_5.2.21-2ubuntu4 bash-completion_1:2.11-8 binutils_2.42-4ubuntu2 binutils-aarch64-linux-gnu_2.42-4ubuntu2 binutils-common_2.42-4ubuntu2 bsdextrautils_2.39.3-9ubuntu5 bsdutils_1:2.39.3-9ubuntu5 build-essential_12.10ubuntu2 bzip2_1.0.8-5.1 ca-certificates_20240203 clang_1:18.0-59~exp2 clang-18_1:18.1.2-1ubuntu3 coreutils_9.4-3ubuntu6 cpp_4:13.2.0-7ubuntu2 cpp-13_13.2.0-23ubuntu3 cpp-13-aarch64-linux-gnu_13.2.0-23ubuntu3 cpp-aarch64-linux-gnu_4:13.2.0-7ubuntu2 dash_0.5.12-6ubuntu5 debconf_1.5.86 debconf-i18n_1.5.86 debhelper_13.14.1ubuntu5 debianutils_5.17build1 debugedit_1:5.0-5build2 dh-ada-library_9.3 dh-autoreconf_20 dh-strip-nondeterminism_1.13.1-1 diffstat_1.66-1build1 diffutils_1:3.10-1build1 dpkg_1.22.6ubuntu6 dpkg-dev_1.22.6ubuntu6 dwz_0.15-1build6 e2fsprogs_1.47.0-2.4~exp1ubuntu4 ed_1.20.1-1 fakeroot_1.33-1build1 file_1:5.45-3build1 findutils_4.9.0-5build1 g++_4:13.2.0-7ubuntu2 g++-13_13.2.0-23ubuntu3 g++-13-aarch64-linux-gnu_13.2.0-23ubuntu3 g++-aarch64-linux-gnu_4:13.2.0-7ubuntu2 gawk_1:5.2.1-2build3 gcc_4:13.2.0-7ubuntu2 gcc-13_13.2.0-23ubuntu3 gcc-13-aarch64-linux-gnu_13.2.0-23ubuntu3 gcc-13-base_13.2.0-23ubuntu3 gcc-13-source_13.2.0-23ubuntu3 gcc-14-base_14-20240330-1ubuntu2 gcc-aarch64-linux-gnu_4:13.2.0-7ubuntu2 gettext_0.21-14ubuntu2 gettext-base_0.21-14ubuntu2 gnat_13.2ubuntu2 gnat-13_13.2.0-23ubuntu3 gnat-13-aarch64-linux-gnu_13.2.0-23ubuntu3 gpg_2.4.4-2ubuntu17 gpg-agent_2.4.4-2ubuntu17 gpgconf_2.4.4-2ubuntu17 gpgv_2.4.4-2ubuntu17 grep_3.11-4build1 groff-base_1.23.0-3build2 gzip_1.12-1ubuntu3 hostname_3.23+nmu2ubuntu2 icu-devtools_74.2-1ubuntu3 init_1.66ubuntu2 init-system-helpers_1.66ubuntu2 intltool-debian_0.35.0+20060710.6 krb5-locales_1.20.1-6ubuntu2 libacl1_2.3.2-1build1 libapparmor1_4.0.0-beta3-0ubuntu3 libapt-pkg6.0t64_2.7.14build2 libarchive-zip-perl_1.68-1 libargon2-1_0~20190702+dfsg-4build1 libasan8_14-20240330-1ubuntu2 libassuan0_2.5.6-1build1 libatomic1_14-20240330-1ubuntu2 libattr1_1:2.5.2-1build1 libaudit-common_1:3.1.2-2.1build1 libaudit1_1:3.1.2-2.1build1 libbinutils_2.42-4ubuntu2 libblkid1_2.39.3-9ubuntu5 libbrotli1_1.1.0-2build2 libbsd0_0.12.1-1build1 libbz2-1.0_1.0.8-5.1 libc-bin_2.39-0ubuntu8 libc-dev-bin_2.39-0ubuntu8 libc6_2.39-0ubuntu8 libc6-dev_2.39-0ubuntu8 libcap-ng0_0.8.4-2build2 libcap2_1:2.66-5ubuntu2 libcc1-0_14-20240330-1ubuntu2 libclang-common-18-dev_1:18.1.2-1ubuntu3 libclang-cpp18_1:18.1.2-1ubuntu3 libclang1-18_1:18.1.2-1ubuntu3 libcom-err2_1.47.0-2.4~exp1ubuntu4 libcrypt-dev_1:4.4.36-4build1 libcrypt1_1:4.4.36-4build1 libcryptsetup12_2:2.7.0-1ubuntu4 libctf-nobfd0_2.42-4ubuntu2 libctf0_2.42-4ubuntu2 libcurl4t64_8.5.0-2ubuntu10 libdb5.3t64_5.3.28+dfsg2-6build1 libdebconfclient0_0.271ubuntu3 libdebhelper-perl_13.14.1ubuntu5 libdevmapper1.02.1_2:1.02.185-3ubuntu3 libdpkg-perl_1.22.6ubuntu6 libdw1t64_0.190-1.1build4 libedit2_3.1-20230828-1build1 libelf1t64_0.190-1.1build4 libexpat1_2.6.1-2build1 libext2fs2t64_1.47.0-2.4~exp1ubuntu4 libfakeroot_1.33-1build1 libfdisk1_2.39.3-9ubuntu5 libffi-dev_3.4.6-1build1 libffi8_3.4.6-1build1 libfile-stripnondeterminism-perl_1.13.1-1 libgc1_1:8.2.6-1build1 libgcc-13-dev_13.2.0-23ubuntu3 libgcc-s1_14-20240330-1ubuntu2 libgcrypt20_1.10.3-2build1 libgdbm-compat4t64_1.23-5.1build1 libgdbm6t64_1.23-5.1build1 libgmp-dev_2:6.3.0+dfsg-2ubuntu5 libgmp10_2:6.3.0+dfsg-2ubuntu5 libgmpxx4ldbl_2:6.3.0+dfsg-2ubuntu5 libgnat-13_13.2.0-23ubuntu3 libgnutls30t64_3.8.3-1.1ubuntu3 libgomp1_14-20240330-1ubuntu2 libgpg-error-l10n_1.47-3build2 libgpg-error0_1.47-3build2 libgpm2_1.20.7-11 libgprofng0_2.42-4ubuntu2 libgssapi-krb5-2_1.20.1-6ubuntu2 libhogweed6t64_3.9.1-2.2build1 libhwasan0_14-20240330-1ubuntu2 libicu-dev_74.2-1ubuntu3 libicu74_74.2-1ubuntu3 libidn2-0_2.3.7-2build1 libip4tc2_1.8.10-3ubuntu2 libisl-dev_0.26-3build1 libisl23_0.26-3build1 libitm1_14-20240330-1ubuntu2 libjansson4_2.14-2build2 libjson-c5_0.17-1build1 libk5crypto3_1.20.1-6ubuntu2 libkeyutils1_1.6.3-3build1 libkmod2_31+20240202-2ubuntu6 libkrb5-3_1.20.1-6ubuntu2 libkrb5support0_1.20.1-6ubuntu2 libldap2_2.6.7+dfsg-1~exp1ubuntu8 libllvm18_1:18.1.2-1ubuntu3 liblocale-gettext-perl_1.07-6ubuntu5 liblockfile-bin_1.17-1build3 liblockfile1_1.17-1build3 liblsan0_14-20240330-1ubuntu2 liblz4-1_1.9.4-1build1 liblzma5_5.6.1+really5.4.5-1 libmagic-mgc_1:5.45-3build1 libmagic1t64_1:5.45-3build1 libmd0_1.1.0-2build1 libmount1_2.39.3-9ubuntu5 libmpc-dev_1.3.1-1build1 libmpc3_1.3.1-1build1 libmpfr-dev_4.2.1-1build1 libmpfr6_4.2.1-1build1 libncurses-dev_6.4+20240113-1ubuntu2 libncurses6_6.4+20240113-1ubuntu2 libncursesw6_6.4+20240113-1ubuntu2 libnettle8t64_3.9.1-2.2build1 libnghttp2-14_1.59.0-1build2 libnpth0t64_1.6-3.1build1 libnsl-dev_1.3.0-3build3 libnsl2_1.3.0-3build3 libnss-nis_3.1-0ubuntu6 libnss-nisplus_1.3-5build1 libobjc-13-dev_13.2.0-23ubuntu3 libobjc4_14-20240330-1ubuntu2 libp11-kit0_0.25.3-4ubuntu2 libpam-modules_1.5.3-5ubuntu4 libpam-modules-bin_1.5.3-5ubuntu4 libpam-runtime_1.5.3-5ubuntu4 libpam0g_1.5.3-5ubuntu4 libpcre2-8-0_10.42-4ubuntu2 libperl5.36_5.36.0-9ubuntu1 libperl5.38t64_5.38.2-3.2build2 libpfm4_4.13.0+git32-g0d4ed0e-1 libpipeline1_1.5.7-2 libpng16-16t64_1.6.43-5build1 libproc2-0_2:4.0.4-4ubuntu3 libpsl5t64_0.21.2-1.1build1 libpython3-stdlib_3.12.2-0ubuntu2 libpython3.12-minimal_3.12.2-5ubuntu3 libpython3.12-stdlib_3.12.2-5ubuntu3 libreadline8t64_8.2-4build1 librtmp1_2.4+20151223.gitfa8646d.1-2build7 libsasl2-2_2.1.28+dfsg1-5ubuntu3 libsasl2-modules-db_2.1.28+dfsg1-5ubuntu3 libseccomp2_2.5.5-1ubuntu3 libselinux1_3.5-2ubuntu2 libsemanage-common_3.5-1build5 libsemanage2_3.5-1build5 libsepol2_3.5-2build1 libsframe1_2.42-4ubuntu2 libsigsegv2_2.14-1ubuntu2 libsmartcols1_2.39.3-9ubuntu5 libsqlite3-0_3.45.1-1ubuntu2 libss2_1.47.0-2.4~exp1ubuntu4 libssh-4_0.10.6-2build2 libssl3t64_3.0.13-0ubuntu3 libstdc++-13-dev_13.2.0-23ubuntu3 libstdc++6_14-20240330-1ubuntu2 libsub-override-perl_0.10-1 libsystemd-shared_255.4-1ubuntu7 libsystemd0_255.4-1ubuntu7 libtasn1-6_4.19.0-3build1 libtext-charwidth-perl_0.04-11build3 libtext-iconv-perl_1.7-8build3 libtext-unidecode-perl_1.30-3 libtext-wrapi18n-perl_0.06-10 libtinfo6_6.4+20240113-1ubuntu2 libtirpc-common_1.3.4+ds-1.1build1 libtirpc-dev_1.3.4+ds-1.1build1 libtirpc3t64_1.3.4+ds-1.1build1 libtool_2.4.7-7build1 libtsan2_14-20240330-1ubuntu2 libubsan1_14-20240330-1ubuntu2 libuchardet0_0.0.8-1build1 libudev1_255.4-1ubuntu7 libunistring2_1.0-2 libunistring5_1.1-2build1 libuuid1_2.39.3-9ubuntu5 libxml-libxml-perl_2.0207+dfsg+really+2.0134-1build4 libxml-namespacesupport-perl_1.12-2 libxml-sax-base-perl_1.09-3 libxml-sax-perl_1.02+dfsg-3 libxml2_2.9.14+dfsg-1.3ubuntu3 libxml2-dev_2.9.14+dfsg-1.3ubuntu3 libxxhash0_0.8.2-2build1 libyaml-0-2_0.2.5-1build1 libz3-4_4.8.12-3.1 libz3-dev_4.8.12-3.1 libzstd1_1.5.5+dfsg2-2build1 linux-libc-dev_6.8.0-22.22 llvm_1:18.0-59~exp2 llvm-18_1:18.1.2-1ubuntu3 llvm-18-dev_1:18.1.2-1ubuntu3 llvm-18-linker-tools_1:18.1.2-1ubuntu3 llvm-18-runtime_1:18.1.2-1ubuntu3 llvm-18-tools_1:18.1.2-1ubuntu3 llvm-dev_1:18.0-59~exp2 llvm-runtime_1:18.0-59~exp2 lockfile-progs_0.1.19build2 login_1:4.13+dfsg1-4ubuntu2 logsave_1.47.0-2.4~exp1ubuntu4 lsb-release_12.0-2 lto-disabled-list_47 m4_1.4.19-4build1 make_4.3-4.1build2 man-db_2.12.0-4build2 mawk_1.3.4.20240123-1build1 media-types_10.1.0 mount_2.39.3-9ubuntu5 ncurses-base_6.4+20240113-1ubuntu2 ncurses-bin_6.4+20240113-1ubuntu2 netbase_6.4 openssl_3.0.13-0ubuntu3 optipng_0.7.8+ds-1build2 passwd_1:4.13+dfsg1-4ubuntu2 patch_2.7.6-7build3 patchutils_0.4.2-1build3 perl_5.38.2-3.2build2 perl-base_5.38.2-3.2build2 perl-modules-5.36_5.36.0-9ubuntu1 perl-modules-5.38_5.38.2-3.2build2 pinentry-curses_1.2.1-3ubuntu5 pkgbinarymangler_154 po-debconf_1.0.21+nmu1 policyrcd-script-zg2_0.1-3.1 procps_2:4.0.4-4ubuntu3 psmisc_23.7-1build1 python3_3.12.2-0ubuntu2 python3-minimal_3.12.2-0ubuntu2 python3-pkg-resources_68.1.2-2ubuntu1 python3-pygments_2.17.2+dfsg-1 python3-yaml_6.0.1-2build2 python3.12_3.12.2-5ubuntu3 python3.12-minimal_3.12.2-5ubuntu3 quilt_0.67+really0.67-4 readline-common_8.2-4build1 rpcsvc-proto_1.4.2-0ubuntu7 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.9-2build1 sensible-utils_0.0.22 sharutils_1:4.15.2-9 systemd_255.4-1ubuntu7 systemd-dev_255.4-1ubuntu7 systemd-sysv_255.4-1ubuntu7 sysvinit-utils_3.08-6ubuntu3 tar_1.35+dfsg-3build1 tex-common_6.18 texinfo_7.1-3build2 texinfo-lib_7.1-3build2 time_1.9-0.2build1 tzdata_2024a-2ubuntu1 ubuntu-keyring_2023.11.28.1 ucf_3.0043+nmu1 util-linux_2.39.3-9ubuntu5 uuid-runtime_2.39.3-9ubuntu5 xz-utils_5.6.1+really5.4.5-1 zlib1g_1:1.3.dfsg-3.1ubuntu2 zlib1g-dev_1:1.3.dfsg-3.1ubuntu2 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-common, ghdl-mcode, ghdl-gcc, ghdl-llvm, ghdl-tools, libghdl-4-0-0, libghdl-dev Architecture: any Version: 4.0.0+dfsg-0ubuntu5 Maintainer: Ubuntu Developers Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Testsuite: autopkgtest Testsuite-Triggers: gcc, libc6-dev Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-13, gcc-13, g++-13, gcc-13-source , libisl-dev (>= 0.14) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , texinfo , llvm-dev , clang , zlib1g-dev Package-List: ghdl deb electronics optional arch=any ghdl-common deb electronics optional arch=any ghdl-gcc deb electronics optional arch=any profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=any profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=any-i386,any-amd64 profile=!pkg.ghdl.nomcode ghdl-tools deb electronics optional arch=any libghdl-4-0-0 deb electronics optional arch=any libghdl-dev deb electronics optional arch=any Checksums-Sha1: 79f1307b495aaaa3ba11ff8ca46c12c4844a934b 7226100 ghdl_4.0.0+dfsg.orig.tar.gz eef82e9d6b17dccdc93cc80678dff5a9c5830fbb 27044 ghdl_4.0.0+dfsg-0ubuntu5.debian.tar.xz Checksums-Sha256: c1a1fb32352ce7c950f41611c9065a3c0c7938d72b42e82368afbb4a25c2df53 7226100 ghdl_4.0.0+dfsg.orig.tar.gz 0a55e548f4240fbbf4604e9e8e4039e6bf052e3a239f39fd4582a0585c0f88a5 27044 ghdl_4.0.0+dfsg-0ubuntu5.debian.tar.xz Files: 6920a0bce643119c49cc3cb1849ed14e 7226100 ghdl_4.0.0+dfsg.orig.tar.gz 30d24b2cced2b8a39af29d4a61b97ce6 27044 ghdl_4.0.0+dfsg-0ubuntu5.debian.tar.xz Original-Maintainer: Debian Electronics Team -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEEVovyKmYzfL/Jprm3LIPbyOm9DjcFAmYU8HwACgkQLIPbyOm9 Djd+Ow/+J9znBIwMJv68nZ7nZART8Bq+52fIVSgHJcjQb3nh8Km+IH1Df8pf5Z3z esqK8Ht9lmU1gygJd/cZyMrv/Lv0VyGun5BODYvfJkNikBAEQvhzaZ/JRwzkHjxD 7B+Ls/obkeUxJ5Y3SUaNVxHdWLUA6S/grWTClLnG46vRTmQzCppj5FagxBY2Uz/E v1x4AjlFLj8W7GUPau4vR8wxgDDOzswoZQLg6yke3yNo+7Si9m+XXTByuC84cuqo iysMX+OfFUDVwME+VFIAMgQG+6ogXW5tCt1WcDO4zr+6ITizaCMJ2FIGZOBCAVN8 Ca2PnpkuRQczVnENIyKPYDTNkRv6UTHIUudAbHoMstt0vziG5SiOAPLi37SYkzbT B3roRLBNdP2W2qEvADDQP6f7h4PUULcLX2x43dHItUxpPiXdymCbo72NUYLsEsmo Qpul/ZIiQl+mOCTct7B5cdoQtMKvBRDnPFHRF12y5bTgl2Kty09Lg2JltWhNKfDf 7veCJ29v2Ks6k/3x57wdE/rkbnDwcTgCRwWl8/ArXVl51PBnv2B26ajGcRzhf3wk Ad0czUcxHiEnDmTl93ShHUQ/VO4PasecFggFfJ+8O5u012ooJbBkqhprY/4P+C+c oqzKAqJ5To9FgBse9Cl0l5qKkM4dFBDqIQPxuIbjoJN5Ilqdhvc= =DIo0 -----END PGP SIGNATURE----- gpgv: Signature made Tue Apr 9 07:38:36 2024 UTC gpgv: using RSA key 568BF22A66337CBFC9A6B9B72C83DBC8E9BD0E37 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./ghdl_4.0.0+dfsg-0ubuntu5.dsc: no acceptable signature found dpkg-source: info: extracting ghdl in /<> dpkg-source: info: unpacking ghdl_4.0.0+dfsg.orig.tar.gz dpkg-source: info: unpacking ghdl_4.0.0+dfsg-0ubuntu5.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying modify-install-paths dpkg-source: info: applying adjust-compile-flags dpkg-source: info: applying extend-ghdl-manpage dpkg-source: info: applying skip-unsupported-tests dpkg-source: info: applying testsuite-pass-parameters dpkg-source: info: applying fix-testsuite-stderr-output dpkg-source: info: applying gcc-distro-specs dpkg-source: info: applying allow-non-linux-mcode dpkg-source: info: applying sparc-memmodel-include dpkg-source: info: applying 0001-configure-tentatively-add-support-for-llvm-18.1.patch Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-28041381 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-28041381 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-28041381 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- Command: dpkg-buildpackage -us -uc -mLaunchpad Build Daemon -B -rfakeroot dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 4.0.0+dfsg-0ubuntu5 dpkg-buildpackage: info: source distribution noble dpkg-source --before-build . dpkg-buildpackage: info: host architecture arm64 debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' # dh_auto_clean complains about python-distutils and fails if it # doesn't see a Makefile, so override the default build system. dh_auto_clean --buildsystem=makefile make[1]: Leaving directory '/<>' debian/rules override_dh_clean make[1]: Entering directory '/<>' # An .orig file exists in the release, don't delete it to not create # spurious differences to the tarball/git. dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig make[1]: Leaving directory '/<>' debian/rules binary-arch dh binary-arch dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' dh_testdir mkdir -p /<>/builddir/llvm /<>/builddir/stamps ------------------------------------------------------------ Configuring with llvm backend ------------------------------------------------------------ cd /<>/builddir/llvm && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --libnativedir=lib/aarch64-linux-gnu --enable-gplcompat \ --libdir=lib/ghdl/llvm \ --libghdldir=lib/ghdl/llvm/vhdl \ --with-llvm-config gcc-13 (Ubuntu 13.2.0-23ubuntu3) 13.2.0 Copyright (C) 2023 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Build machine is: aarch64-linux-gnu Debugging is enabled with llvm 18.1.2 create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in make[2]: Entering directory '/<>/builddir/llvm' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/llvm/vhdl/$d; \ done make[2]: Leaving directory '/<>/builddir/llvm' Generate ghdlsynth_maybe.ads Generate grt-readline.ads touch /<>/builddir/stamps/configure-llvm dh_testdir mkdir -p /<>/builddir/gcc /<>/builddir/stamps ------------------------------------------------------------ Configuring with gcc backend ------------------------------------------------------------ # gcc unpack sequence cribbed from gcc-7-cross debian/rules # We have to disable the gcc-verbose-lto-link patch since it replaces # the LLINKER value with one that runs the linker under /usr/bin/time # and the spaces are not escaped properly somewhere on the ghdl side. set -e && \ cd /<>/builddir/gcc && \ ln -sf /usr/src/gcc-13/gcc-*.tar.* && \ cp -a /usr/src/gcc-13/debian/ . && \ if [ -n "$(grep -v '^\#' /<>/debian/gcc-patches/gcc-13/series)" ]; then \ cp -n /<>/debian/gcc-patches/gcc-13/*.diff debian/patches/ && \ cat /<>/debian/gcc-patches/gcc-13/series >> debian/patches/series && \ sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /<>/debian/gcc-patches/gcc-13/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \ fi && \ echo -n > /<>/builddir/gcc/debian/patches/gcc-verbose-lto-link.diff && \ debian/rules patch && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --libnativedir=lib/aarch64-linux-gnu --enable-gplcompat \ --libdir=lib/ghdl/gcc \ --libghdldir=lib/ghdl/gcc/vhdl \ --with-gcc=src && \ make copy-sources && \ /usr/bin/make -f debian/rules2 configure PF=usr/lib/ghdl/gcc with_bootstrap=off \ with_cc1=no with_dev=no separate_lang=yes enabled_languages=vhdl \ with_common_libs=no with_common_pkgs=no \ with_check="skipped for GHDL build" grep: /<>/debian/gcc-patches/gcc-13/series: No such file or directory make[2]: Entering directory '/<>/builddir/gcc' Build environment: derivative=Ubuntu, release=noble : # unpack gcc tarball mkdir -p stamps if [ -d /<>/builddir/gcc/src ]; then \ echo >&2 "Source directory /<>/builddir/gcc/src exists. Delete by hand"; \ false; \ fi rm -rf gcc-13.2.0 tar -x -f gcc-13.2.0.tar.xz mv gcc-13.2.0 /<>/builddir/gcc/src ln -sf libsanitizer /<>/builddir/gcc/src/libasan echo "gcc-13.2.0.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-13.2.0.tar.xz echo -e "\nBuilt from Debian source package gcc-13-13.2.0-23ubuntu3" \ > pxxx echo -e "Integrated upstream packages in this version:\n" >> pxxx for i in gcc-13.2.0.tar.xz ; do echo " $i" >> pxxx; done mv -f pxxx stamps/01-unpack-stamp echo git-updates.diff git-doc-updates.diff rename-info-files.diff gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff libstdc++-doxygen-SOURCE_DATE_EPOCH.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libffi-race-condition.diff cuda-float128.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff pr94253.diff gcc-arm-disable-guality-tests.diff musl-ssp.diff pr79724-revert.diff pr104290-followup.diff arc-stddef.diff pr107475.diff gccrs-bootstrap-mipsel.diff pr110066.diff pr88552.diff hppa64-libgcov-fallback.diff toplevel-add-gprofng.diff toplevel-add-libsframe.diff libiberty-sha1-1.diff libiberty-sha1-2.diff pr113030.diff libsanitizer-timebits.diff asan-allocator-base.diff gcc-vhdl.diff pr110934.diff pr114533-proposed.diff libsanitizer-no-crypt.diff pr99832-distro.diff pr114065-proposed.diff ada-gcc-name.diff ada-verbose.diff ada-link-lib.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-perl-shebang.diff disable-gdc-tests.diff alpha-ieee.diff alpha-ieee-doc.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff libstdc++-pythondir.diff gcc-verbose-lto-link.diff ada-armel-libatomic.diff ada-kfreebsd.diff hurd-amd64.diff hurd-multiarch.diff hurd-multilib-multiarch.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff \ | sed -r 's/ +/ /g' | tr " " "\n" > debian/patches/series echo gcc-distro-specs-ubuntu-doc.diff testsuite-hardening-format.diff testsuite-hardening-printf-types.diff testsuite-hardening-updates.diff testsuite-glibc-warnings.diff \ | sed -r 's/ +/ /g' | tr " " "\n" >> debian/patches/series sed -r 's/(.)$/\1 -p1/' -i debian/patches/series touch stamps/02-series-stamp sync QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \ quilt --quiltrc /dev/null push -a || test $? = 2 Applying patch git-updates.diff patching file src/contrib/ChangeLog patching file src/contrib/dg-extract-results.sh patching file src/fixincludes/ChangeLog patching file src/fixincludes/fixincl.x patching file src/fixincludes/inclhack.def patching file src/fixincludes/tests/base/math.h patching file src/gcc/ChangeLog patching file src/gcc/DATESTAMP patching file src/gcc/ada/ChangeLog patching file src/gcc/ada/checks.adb patching file src/gcc/ada/contracts.adb patching file src/gcc/ada/einfo-utils.adb patching file src/gcc/ada/einfo.ads patching file src/gcc/ada/exp_aggr.adb patching file src/gcc/ada/exp_ch3.adb patching file src/gcc/ada/exp_ch4.adb patching file src/gcc/ada/exp_ch5.adb patching file src/gcc/ada/exp_ch6.adb patching file src/gcc/ada/exp_ch6.ads patching file src/gcc/ada/exp_ch7.adb patching file src/gcc/ada/exp_imgv.adb patching file src/gcc/ada/exp_intr.adb patching file src/gcc/ada/exp_util.adb patching file src/gcc/ada/freeze.adb patching file src/gcc/ada/frontend.adb patching file src/gcc/ada/gcc-interface/decl.cc patching file src/gcc/ada/gcc-interface/trans.cc patching file src/gcc/ada/gcc-interface/utils.cc patching file src/gcc/ada/gcc-interface/utils2.cc patching file src/gcc/ada/inline.adb patching file src/gcc/ada/repinfo.adb patching file src/gcc/ada/repinfo.ads patching file src/gcc/ada/sem_aggr.adb patching file src/gcc/ada/sem_attr.adb patching file src/gcc/ada/sem_ch10.adb patching file src/gcc/ada/sem_ch12.adb patching file src/gcc/ada/sem_ch13.adb patching file src/gcc/ada/sem_ch3.adb patching file src/gcc/ada/sem_ch4.adb patching file src/gcc/ada/sem_ch4.ads patching file src/gcc/ada/sem_ch6.adb patching file src/gcc/ada/sem_ch7.adb patching file src/gcc/ada/sem_prag.adb patching file src/gcc/ada/sem_res.adb patching file src/gcc/ada/sem_type.adb patching file src/gcc/ada/sem_util.adb patching file src/gcc/ada/sem_util.ads patching file src/gcc/asan.cc patching file src/gcc/attribs.cc patching file src/gcc/bb-reorder.cc patching file src/gcc/bitmap.cc patching file src/gcc/c-family/ChangeLog patching file src/gcc/c-family/c-attribs.cc patching file src/gcc/c-family/c-common.cc patching file src/gcc/c-family/c-lex.cc patching file src/gcc/c-family/c-pch.cc patching file src/gcc/c-family/c-pragma.cc patching file src/gcc/c-family/c-pretty-print.cc patching file src/gcc/c/ChangeLog patching file src/gcc/c/c-decl.cc patching file src/gcc/calls.cc patching file src/gcc/cfgexpand.cc patching file src/gcc/cfgrtl.cc patching file src/gcc/cfgrtl.h patching file src/gcc/combine.cc patching file src/gcc/common.opt patching file src/gcc/common/config/avr/avr-common.cc patching file src/gcc/common/config/i386/cpuinfo.h patching file src/gcc/common/config/i386/i386-common.cc patching file src/gcc/config.host patching file src/gcc/config.in patching file src/gcc/config/aarch64/aarch64-builtins.cc patching file src/gcc/config/aarch64/aarch64-cores.def patching file src/gcc/config/aarch64/aarch64-protos.h patching file src/gcc/config/aarch64/aarch64-tune.md patching file src/gcc/config/aarch64/aarch64.cc patching file src/gcc/config/aarch64/aarch64.h patching file src/gcc/config/aarch64/aarch64.md patching file src/gcc/config/aarch64/iterators.md patching file src/gcc/config/arm/neon.md patching file src/gcc/config/avr/avr-arch.h patching file src/gcc/config/avr/avr-c.cc patching file src/gcc/config/avr/avr-devices.cc patching file src/gcc/config/avr/avr-mcus.def patching file src/gcc/config/avr/avr-protos.h patching file src/gcc/config/avr/avr.cc patching file src/gcc/config/avr/avr.h patching file src/gcc/config/avr/avr.md patching file src/gcc/config/avr/avr.opt patching file src/gcc/config/avr/driver-avr.cc patching file src/gcc/config/avr/gen-avr-mmcu-specs.cc patching file src/gcc/config/i386/amxtileintrin.h patching file src/gcc/config/i386/driver-i386.cc patching file src/gcc/config/i386/i386-builtin.def patching file src/gcc/config/i386/i386-builtins.cc patching file src/gcc/config/i386/i386-c.cc patching file src/gcc/config/i386/i386-expand.cc patching file src/gcc/config/i386/i386-features.cc patching file src/gcc/config/i386/i386-features.h patching file src/gcc/config/i386/i386-options.cc patching file src/gcc/config/i386/i386.cc patching file src/gcc/config/i386/i386.h patching file src/gcc/config/i386/i386.md patching file src/gcc/config/i386/i386.opt patching file src/gcc/config/i386/mmx.md patching file src/gcc/config/i386/sse.md patching file src/gcc/config/i386/x86-tune.def patching file src/gcc/config/loongarch/genopts/loongarch.opt.in patching file src/gcc/config/loongarch/gnu-user.h patching file src/gcc/config/loongarch/loongarch-opts.h patching file src/gcc/config/loongarch/loongarch.cc patching file src/gcc/config/loongarch/loongarch.h patching file src/gcc/config/loongarch/loongarch.md patching file src/gcc/config/loongarch/loongarch.opt patching file src/gcc/config/loongarch/sync.md patching file src/gcc/config/loongarch/t-loongarch patching file src/gcc/config/mips/driver-native.cc patching file src/gcc/config/mips/mips-msa.md patching file src/gcc/config/pa/pa.cc patching file src/gcc/config/pa/pa.md patching file src/gcc/config/riscv/riscv-protos.h patching file src/gcc/config/riscv/riscv-v.cc patching file src/gcc/config/riscv/riscv-vector-builtins-bases.cc patching file src/gcc/config/riscv/riscv-vsetvl.cc patching file src/gcc/config/riscv/riscv-vsetvl.h patching file src/gcc/config/riscv/riscv.cc patching file src/gcc/config/riscv/sync.md patching file src/gcc/config/riscv/t-riscv patching file src/gcc/config/riscv/thead.cc patching file src/gcc/config/rs6000/mma.md patching file src/gcc/config/rs6000/predicates.md patching file src/gcc/config/rs6000/rs6000-string.cc patching file src/gcc/config/rs6000/rs6000.cc patching file src/gcc/config/rs6000/rs6000.h patching file src/gcc/config/rs6000/rs6000.md patching file src/gcc/config/rs6000/vsx.md patching file src/gcc/config/sh/sh.cc patching file src/gcc/config/sh/sh.md patching file src/gcc/config/sh/sh_treg_combine.cc patching file src/gcc/config/sparc/sparc.cc patching file src/gcc/config/sparc/sync.md patching file src/gcc/config/vax/vax.cc patching file src/gcc/configure patching file src/gcc/configure.ac patching file src/gcc/cp/ChangeLog patching file src/gcc/cp/call.cc patching file src/gcc/cp/class.cc patching file src/gcc/cp/constexpr.cc patching file src/gcc/cp/contracts.cc patching file src/gcc/cp/cp-gimplify.cc patching file src/gcc/cp/cp-tree.h patching file src/gcc/cp/cxx-pretty-print.cc patching file src/gcc/cp/decl.cc patching file src/gcc/cp/decl2.cc patching file src/gcc/cp/except.cc patching file src/gcc/cp/init.cc patching file src/gcc/cp/method.cc patching file src/gcc/cp/parser.cc patching file src/gcc/cp/pt.cc patching file src/gcc/cp/semantics.cc patching file src/gcc/cp/tree.cc patching file src/gcc/cp/typeck.cc patching file src/gcc/d/ChangeLog patching file src/gcc/d/d-builtins.cc patching file src/gcc/d/d-codegen.cc patching file src/gcc/d/d-convert.cc patching file src/gcc/d/d-target.cc patching file src/gcc/d/d-tree.h patching file src/gcc/d/decl.cc patching file src/gcc/d/expr.cc patching file src/gcc/d/modules.cc patching file src/gcc/d/types.cc patching file src/gcc/dwarf2out.cc patching file src/gcc/fold-const.cc patching file src/gcc/fortran/ChangeLog patching file src/gcc/fortran/array.cc patching file src/gcc/fortran/check.cc patching file src/gcc/fortran/decl.cc patching file src/gcc/fortran/expr.cc patching file src/gcc/fortran/frontend-passes.cc patching file src/gcc/fortran/gfortran.h patching file src/gcc/fortran/interface.cc patching file src/gcc/fortran/match.cc patching file src/gcc/fortran/parse.cc patching file src/gcc/fortran/primary.cc patching file src/gcc/fortran/resolve.cc patching file src/gcc/fortran/trans-array.cc patching file src/gcc/fortran/trans-expr.cc patching file src/gcc/fortran/trans-intrinsic.cc patching file src/gcc/fortran/trans-stmt.cc patching file src/gcc/fortran/trans-types.cc patching file src/gcc/function.cc patching file src/gcc/generic-match-head.cc patching file src/gcc/genmatch.cc patching file src/gcc/ggc-common.cc patching file src/gcc/gimple-isel.cc patching file src/gcc/gimple-iterator.cc patching file src/gcc/gimple-iterator.h patching file src/gcc/gimple-low.cc patching file src/gcc/gimple-match-head.cc patching file src/gcc/gimple-predicate-analysis.cc patching file src/gcc/gimple-range-cache.cc patching file src/gcc/gimple-range-gori.cc patching file src/gcc/gimple-ssa-backprop.cc patching file src/gcc/gimple-ssa-store-merging.cc patching file src/gcc/gimple-ssa-warn-access.cc patching file src/gcc/graphite-sese-to-poly.cc patching file src/gcc/ipa-icf.cc patching file src/gcc/lower-subreg.cc patching file src/gcc/lra-constraints.cc patching file src/gcc/lra-eliminations.cc patching file src/gcc/lra.cc patching file src/gcc/lto-streamer.h patching file src/gcc/m2/ChangeLog patching file src/gcc/m2/Make-lang.in patching file src/gcc/m2/Make-maintainer.in patching file src/gcc/m2/README patching file src/gcc/m2/gm2-compiler/M2ALU.mod patching file src/gcc/m2/gm2-compiler/M2BasicBlock.def patching file src/gcc/m2/gm2-compiler/M2BasicBlock.mod patching file src/gcc/m2/gm2-compiler/M2CaseList.def patching file src/gcc/m2/gm2-compiler/M2CaseList.mod patching file src/gcc/m2/gm2-compiler/M2Check.mod patching file src/gcc/m2/gm2-compiler/M2Code.mod patching file src/gcc/m2/gm2-compiler/M2Error.mod patching file src/gcc/m2/gm2-compiler/M2GCCDeclare.def patching file src/gcc/m2/gm2-compiler/M2GCCDeclare.mod patching file src/gcc/m2/gm2-compiler/M2GenGCC.def patching file src/gcc/m2/gm2-compiler/M2GenGCC.mod patching file src/gcc/m2/gm2-compiler/M2MetaError.mod patching file src/gcc/m2/gm2-compiler/M2Optimize.mod patching file src/gcc/m2/gm2-compiler/M2Options.def patching file src/gcc/m2/gm2-compiler/M2Options.mod patching file src/gcc/m2/gm2-compiler/M2Quads.def patching file src/gcc/m2/gm2-compiler/M2Quads.mod patching file src/gcc/m2/gm2-compiler/M2Range.mod patching file src/gcc/m2/gm2-compiler/M2Scope.def patching file src/gcc/m2/gm2-compiler/M2Scope.mod patching file src/gcc/m2/gm2-compiler/M2SymInit.def patching file src/gcc/m2/gm2-compiler/M2SymInit.mod patching file src/gcc/m2/gm2-compiler/P0SyntaxCheck.bnf patching file src/gcc/m2/gm2-compiler/P1Build.bnf patching file src/gcc/m2/gm2-compiler/P2Build.bnf patching file src/gcc/m2/gm2-compiler/P2SymBuild.mod patching file src/gcc/m2/gm2-compiler/P3Build.bnf patching file src/gcc/m2/gm2-compiler/PCBuild.bnf patching file src/gcc/m2/gm2-compiler/PCSymBuild.mod patching file src/gcc/m2/gm2-compiler/PHBuild.bnf patching file src/gcc/m2/gm2-compiler/SymbolKey.mod patching file src/gcc/m2/gm2-compiler/SymbolTable.def patching file src/gcc/m2/gm2-compiler/SymbolTable.mod patching file src/gcc/m2/gm2-gcc/gcc-consolidation.h patching file src/gcc/m2/gm2-gcc/init.cc patching file src/gcc/m2/gm2-gcc/m2decl.cc patching file src/gcc/m2/gm2-gcc/m2decl.def patching file src/gcc/m2/gm2-gcc/m2decl.h patching file src/gcc/m2/gm2-gcc/m2expr.cc patching file src/gcc/m2/gm2-gcc/m2expr.def patching file src/gcc/m2/gm2-gcc/m2expr.h patching file src/gcc/m2/gm2-gcc/m2options.h patching file src/gcc/m2/gm2-gcc/m2tree.cc patching file src/gcc/m2/gm2-gcc/m2type.cc patching file src/gcc/m2/gm2-lang.cc patching file src/gcc/m2/gm2-libs-coroutines/TimerHandler.mod patching file src/gcc/m2/gm2-libs-iso/LongIO.mod patching file src/gcc/m2/gm2-libs-iso/LongWholeIO.mod patching file src/gcc/m2/gm2-libs-iso/RTgen.mod patching file src/gcc/m2/gm2-libs-iso/RealIO.mod patching file src/gcc/m2/gm2-libs-iso/SeqFile.mod patching file src/gcc/m2/gm2-libs-iso/ShortWholeIO.mod patching file src/gcc/m2/gm2-libs-iso/Strings.mod patching file src/gcc/m2/gm2-libs-iso/SysClock.mod patching file src/gcc/m2/gm2-libs-iso/TextIO.mod patching file src/gcc/m2/gm2-libs-iso/TextUtil.def patching file src/gcc/m2/gm2-libs-iso/TextUtil.mod patching file src/gcc/m2/gm2-libs-iso/WholeIO.mod patching file src/gcc/m2/gm2-libs-iso/wrapclock.def patching file src/gcc/m2/gm2-libs/DynamicStrings.mod patching file src/gcc/m2/gm2-libs/FIO.def patching file src/gcc/m2/gm2-libs/FIO.mod patching file src/gcc/m2/gm2-libs/IO.mod patching file src/gcc/m2/gm2-libs/M2Dependent.mod patching file src/gcc/m2/gm2spec.cc patching file src/gcc/m2/lang.opt patching file src/gcc/m2/m2.flex patching file src/gcc/m2/m2pp.cc patching file src/gcc/m2/mc-boot/GDynamicStrings.cc patching file src/gcc/m2/mc-boot/GFIO.cc patching file src/gcc/m2/mc-boot/GFormatStrings.cc patching file src/gcc/m2/mc-boot/GM2Dependent.cc patching file src/gcc/m2/mc-boot/GM2Dependent.h patching file src/gcc/m2/mc-boot/GM2LINK.h patching file src/gcc/m2/mc-boot/GM2RTS.cc patching file src/gcc/m2/mc-boot/GM2RTS.h patching file src/gcc/m2/mc-boot/GRTExceptions.cc patching file src/gcc/m2/mc-boot/GRTint.cc patching file src/gcc/m2/mc-boot/GSFIO.cc patching file src/gcc/m2/mc-boot/GStringConvert.cc patching file src/gcc/m2/mc-boot/Gdecl.cc patching file src/gcc/m2/pge-boot/GASCII.cc patching file src/gcc/m2/pge-boot/GASCII.h patching file src/gcc/m2/pge-boot/GArgs.cc patching file src/gcc/m2/pge-boot/GArgs.h patching file src/gcc/m2/pge-boot/GAssertion.cc patching file src/gcc/m2/pge-boot/GAssertion.h patching file src/gcc/m2/pge-boot/GBreak.h patching file src/gcc/m2/pge-boot/GCmdArgs.h patching file src/gcc/m2/pge-boot/GDebug.cc patching file src/gcc/m2/pge-boot/GDebug.h patching file src/gcc/m2/pge-boot/GDynamicStrings.cc patching file src/gcc/m2/pge-boot/GDynamicStrings.h patching file src/gcc/m2/pge-boot/GEnvironment.h patching file src/gcc/m2/pge-boot/GFIO.cc patching file src/gcc/m2/pge-boot/GFIO.h patching file src/gcc/m2/pge-boot/GFormatStrings.h patching file src/gcc/m2/pge-boot/GFpuIO.h patching file src/gcc/m2/pge-boot/GIO.cc patching file src/gcc/m2/pge-boot/GIO.h patching file src/gcc/m2/pge-boot/GIndexing.cc patching file src/gcc/m2/pge-boot/GIndexing.h patching file src/gcc/m2/pge-boot/GLists.cc patching file src/gcc/m2/pge-boot/GLists.h patching file src/gcc/m2/pge-boot/GM2Dependent.cc patching file src/gcc/m2/pge-boot/GM2Dependent.h patching file src/gcc/m2/pge-boot/GM2EXCEPTION.cc patching file src/gcc/m2/pge-boot/GM2EXCEPTION.h patching file src/gcc/m2/pge-boot/GM2LINK.cc patching file src/gcc/m2/pge-boot/GM2LINK.h patching file src/gcc/m2/pge-boot/GM2RTS.cc patching file src/gcc/m2/pge-boot/GM2RTS.h patching file src/gcc/m2/pge-boot/GNameKey.cc patching file src/gcc/m2/pge-boot/GNameKey.h patching file src/gcc/m2/pge-boot/GNumberIO.cc patching file src/gcc/m2/pge-boot/GNumberIO.h patching file src/gcc/m2/pge-boot/GOutput.cc patching file src/gcc/m2/pge-boot/GOutput.h patching file src/gcc/m2/pge-boot/GPushBackInput.cc patching file src/gcc/m2/pge-boot/GPushBackInput.h patching file src/gcc/m2/pge-boot/GRTExceptions.cc patching file src/gcc/m2/pge-boot/GRTExceptions.h patching file src/gcc/m2/pge-boot/GSArgs.h patching file src/gcc/m2/pge-boot/GSEnvironment.h patching file src/gcc/m2/pge-boot/GSFIO.cc patching file src/gcc/m2/pge-boot/GSFIO.h patching file src/gcc/m2/pge-boot/GSYSTEM.h patching file src/gcc/m2/pge-boot/GScan.h patching file src/gcc/m2/pge-boot/GStdIO.cc patching file src/gcc/m2/pge-boot/GStdIO.h patching file src/gcc/m2/pge-boot/GStorage.cc patching file src/gcc/m2/pge-boot/GStorage.h patching file src/gcc/m2/pge-boot/GStrCase.cc patching file src/gcc/m2/pge-boot/GStrCase.h patching file src/gcc/m2/pge-boot/GStrIO.cc patching file src/gcc/m2/pge-boot/GStrIO.h patching file src/gcc/m2/pge-boot/GStrLib.cc patching file src/gcc/m2/pge-boot/GStrLib.h patching file src/gcc/m2/pge-boot/GStringConvert.h patching file src/gcc/m2/pge-boot/GSymbolKey.cc patching file src/gcc/m2/pge-boot/GSymbolKey.h patching file src/gcc/m2/pge-boot/GSysExceptions.h patching file src/gcc/m2/pge-boot/GSysStorage.cc patching file src/gcc/m2/pge-boot/GSysStorage.h patching file src/gcc/m2/pge-boot/GTimeString.h patching file src/gcc/m2/pge-boot/GUnixArgs.h patching file src/gcc/m2/pge-boot/Gbnflex.cc patching file src/gcc/m2/pge-boot/Gbnflex.h patching file src/gcc/m2/pge-boot/Gdtoa.h patching file src/gcc/m2/pge-boot/Gerrno.h patching file src/gcc/m2/pge-boot/Gldtoa.h patching file src/gcc/m2/pge-boot/Glibc.h patching file src/gcc/m2/pge-boot/Glibm.h patching file src/gcc/m2/pge-boot/Gpge.cc patching file src/gcc/m2/pge-boot/Gtermios.h patching file src/gcc/m2/pge-boot/Gwrapc.h patching file src/gcc/m2/tools-src/checkmeta.py patching file src/gcc/match.pd patching file src/gcc/omp-expand.cc patching file src/gcc/opts.cc patching file src/gcc/params.opt patching file src/gcc/po/ChangeLog patching file src/gcc/po/be.po patching file src/gcc/po/da.po patching file src/gcc/po/de.po patching file src/gcc/po/el.po patching file src/gcc/po/es.po patching file src/gcc/po/fi.po patching file src/gcc/po/fr.po patching file src/gcc/po/hr.po patching file src/gcc/po/id.po patching file src/gcc/po/ja.po patching file src/gcc/po/nl.po patching file src/gcc/po/ru.po patching file src/gcc/po/sr.po patching file src/gcc/po/sv.po patching file src/gcc/po/tr.po patching file src/gcc/po/uk.po patching file src/gcc/po/vi.po patching file src/gcc/po/zh_CN.po patching file src/gcc/po/zh_TW.po patching file src/gcc/range-op-float.cc patching file src/gcc/reorg.cc patching file src/gcc/simplify-rtx.cc patching file src/gcc/testsuite/ChangeLog patching file src/gcc/testsuite/c-c++-common/Wattributes-3.c patching file src/gcc/testsuite/c-c++-common/alias-1.c patching file src/gcc/testsuite/c-c++-common/cpp/Wunknown-pragmas-1.c patching file src/gcc/testsuite/c-c++-common/ubsan/Wno-attributes-1.c patching file src/gcc/testsuite/c-c++-common/ubsan/pr112727.c patching file src/gcc/testsuite/g++.dg/concepts/diagnostic19.C patching file src/gcc/testsuite/g++.dg/contracts/contracts-post7.C patching file src/gcc/testsuite/g++.dg/contracts/contracts-tmpl-spec2.C patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-75.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-empty18.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-empty19.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-template12.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-array21.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-array22.C patching file src/gcc/testsuite/g++.dg/cpp0x/lambda/lambda-const11a.C patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept80.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-reinterpret3.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-reinterpret4.C patching file src/gcc/testsuite/g++.dg/cpp1y/var-templ-array1.C patching file src/gcc/testsuite/g++.dg/cpp1y/var-templ85.C patching file src/gcc/testsuite/g++.dg/cpp1z/array-prvalue2.C patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-template1.C patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-template2.C patching file src/gcc/testsuite/g++.dg/cpp1z/eval-order3.C patching file src/gcc/testsuite/g++.dg/cpp1z/variant1.C patching file src/gcc/testsuite/g++.dg/cpp23/attr-assume12.C patching file src/gcc/testsuite/g++.dg/cpp23/auto-fncast13.C patching file src/gcc/testsuite/g++.dg/cpp23/auto-fncast14.C patching file src/gcc/testsuite/g++.dg/cpp23/subscript15.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-explicit-inst6.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-fn8.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-friend11.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-friend15.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-requires35.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-throw1.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ttp5.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ttp6.C patching file src/gcc/testsuite/g++.dg/cpp2a/no_unique_address15.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class60.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class61.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class62.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-float3.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-eq16.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-eq17.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-sfinae3.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-synth-neg3.C patching file src/gcc/testsuite/g++.dg/diagnostic/constexpr4.C patching file src/gcc/testsuite/g++.dg/eh/return1.C patching file src/gcc/testsuite/g++.dg/eh/return2.C patching file src/gcc/testsuite/g++.dg/eh/return3.C patching file src/gcc/testsuite/g++.dg/eh/throw4.C patching file src/gcc/testsuite/g++.dg/ext/frounding-math1.C patching file src/gcc/testsuite/g++.dg/ext/unroll-5.C patching file src/gcc/testsuite/g++.dg/opt/delay-slot-2.C patching file src/gcc/testsuite/g++.dg/opt/nrv22.C patching file src/gcc/testsuite/g++.dg/pch/line-map-1.C patching file src/gcc/testsuite/g++.dg/pch/line-map-1.Hs patching file src/gcc/testsuite/g++.dg/pch/line-map-2.C patching file src/gcc/testsuite/g++.dg/pch/line-map-2.Hs patching file src/gcc/testsuite/g++.dg/template/template-keyword4.C patching file src/gcc/testsuite/g++.dg/template/ttp38.C patching file src/gcc/testsuite/g++.dg/torture/pr111019.C patching file src/gcc/testsuite/g++.dg/torture/pr111465.C patching file src/gcc/testsuite/g++.dg/torture/pr113896.C patching file src/gcc/testsuite/g++.dg/vect/pr112793.cc patching file src/gcc/testsuite/g++.dg/warn/Wmismatched-new-delete-8.C patching file src/gcc/testsuite/g++.dg/warn/Wuse-after-free3.C patching file src/gcc/testsuite/g++.target/i386/pr111497.C patching file src/gcc/testsuite/g++.target/i386/pr111822.C patching file src/gcc/testsuite/g++.target/i386/pr112443.C patching file src/gcc/testsuite/g++.target/loongarch/atomic-cas-int.C patching file src/gcc/testsuite/g++.target/powerpc/pr110741.C patching file src/gcc/testsuite/g++.target/powerpc/pr111366.C patching file src/gcc/testsuite/g++.target/powerpc/pr111367.C patching file src/gcc/testsuite/g++.target/powerpc/pr111828-1.C patching file src/gcc/testsuite/g++.target/powerpc/pr111828-2.C patching file src/gcc/testsuite/gcc.c-torture/compile/pr110386-1.c patching file src/gcc/testsuite/gcc.c-torture/compile/pr110386-2.c patching file src/gcc/testsuite/gcc.c-torture/compile/pr111699-1.c patching file src/gcc/testsuite/gcc.c-torture/compile/pr113603.c patching file src/gcc/testsuite/gcc.c-torture/execute/ieee/fp-cmp-cond-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr110115.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr110914.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111331-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111331-2.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111331-3.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111408.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111422.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr112758.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr114396.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr90348.c patching file src/gcc/testsuite/gcc.dg/Wfree-nonheap-object-7.c patching file src/gcc/testsuite/gcc.dg/analyzer/null-deref-pr108251-smp_fetch_ssl_fc_has_early-O2.c patching file src/gcc/testsuite/gcc.dg/asan/pr112709-2.c patching file src/gcc/testsuite/gcc.dg/attr-assume-6.c patching file src/gcc/testsuite/gcc.dg/c23-attr-syntax-8.c patching file src/gcc/testsuite/gcc.dg/c23-enum-10.c patching file src/gcc/testsuite/gcc.dg/c23-enum-9.c patching file src/gcc/testsuite/gcc.dg/c23-stdarg-6.c patching file src/gcc/testsuite/gcc.dg/debug/dwarf2/pr111080.c patching file src/gcc/testsuite/gcc.dg/debug/pr112718.c patching file src/gcc/testsuite/gcc.dg/float_opposite_arm-1.c patching file src/gcc/testsuite/gcc.dg/graphite/run-id-pr114041-2.c patching file src/gcc/testsuite/gcc.dg/ifcvt-4.c patching file src/gcc/testsuite/gcc.dg/lto/pr88077_0.c patching file src/gcc/testsuite/gcc.dg/pr107385.c patching file src/gcc/testsuite/gcc.dg/pr110079.c patching file src/gcc/testsuite/gcc.dg/pr110755.c patching file src/gcc/testsuite/gcc.dg/pr111015.c patching file src/gcc/testsuite/gcc.dg/pr111039.c patching file src/gcc/testsuite/gcc.dg/pr111070.c patching file src/gcc/testsuite/gcc.dg/pr111694.c patching file src/gcc/testsuite/gcc.dg/pr112618.c patching file src/gcc/testsuite/gcc.dg/pr112733.c patching file src/gcc/testsuite/gcc.dg/pr112837.c patching file src/gcc/testsuite/gcc.dg/pr112845.c patching file src/gcc/testsuite/gcc.dg/pr113013.c patching file src/gcc/testsuite/gcc.dg/pr113262.c patching file src/gcc/testsuite/gcc.dg/pr113907-1.c patching file src/gcc/testsuite/gcc.dg/pr114090.c patching file src/gcc/testsuite/gcc.dg/pr114211.c patching file src/gcc/testsuite/gcc.dg/pr114310.c patching file src/gcc/testsuite/gcc.dg/pr56837.c patching file src/gcc/testsuite/gcc.dg/rtl/aarch64/pr111411.c patching file src/gcc/testsuite/gcc.dg/torture/pr110176.c patching file src/gcc/testsuite/gcc.dg/torture/pr110422.c patching file src/gcc/testsuite/gcc.dg/torture/pr110702.c patching file src/gcc/testsuite/gcc.dg/torture/pr110766.c patching file src/gcc/testsuite/gcc.dg/torture/pr110799.c patching file src/gcc/testsuite/gcc.dg/torture/pr111137.c patching file src/gcc/testsuite/gcc.dg/torture/pr111445.c patching file src/gcc/testsuite/gcc.dg/torture/pr111519.c patching file src/gcc/testsuite/gcc.dg/torture/pr111614.c patching file src/gcc/testsuite/gcc.dg/torture/pr111815.c patching file src/gcc/testsuite/gcc.dg/torture/pr111818.c patching file src/gcc/testsuite/gcc.dg/torture/pr111917.c patching file src/gcc/testsuite/gcc.dg/torture/pr114070.c patching file src/gcc/testsuite/gcc.dg/torture/pr114203.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr111583-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr111583-2.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr111967.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr113993.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr112709-1.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr112709-2.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr113012.c patching file src/gcc/testsuite/gcc.dg/uninit-pr111397.c patching file src/gcc/testsuite/gcc.dg/uninit-pr111489.c patching file src/gcc/testsuite/gcc.dg/vect/bb-slp-layout-19.c patching file src/gcc/testsuite/gcc.dg/vect/pr111764.c patching file src/gcc/testsuite/gcc.dg/vect/pr112505.c patching file src/gcc/testsuite/gcc.dg/vect/pr114027.c patching file src/gcc/testsuite/gcc.dg/vect/pr114231.c patching file src/gcc/testsuite/gcc.dg/vect/tsvc/tsvc.h patching file src/gcc/testsuite/gcc.misc-tests/options.exp patching file src/gcc/testsuite/gcc.target/aarch64/acle/memtag_4.c patching file src/gcc/testsuite/gcc.target/aarch64/ldp_stp_unaligned_2.c patching file src/gcc/testsuite/gcc.target/aarch64/mops_4.c patching file src/gcc/testsuite/gcc.target/aarch64/pr112787.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_5.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_6.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_7.c patching file src/gcc/testsuite/gcc.target/aarch64/shadow_call_stack_8.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-12.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-11.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-12.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-13.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-14.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-15.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-17.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-18.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-19.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-2.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-20.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-5.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-6.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-8.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-check-prologue-9.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-8.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-9.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pcs/stack_clash_3.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr110280.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr97696.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/struct_vect_24.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_1.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_10.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_11.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_13.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_15.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_2.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_4.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_6.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_7.c patching file src/gcc/testsuite/gcc.target/aarch64/test_frame_8.c patching file src/gcc/testsuite/gcc.target/aarch64/torture/pr111677.c patching file src/gcc/testsuite/gcc.target/arm/neon-recip-div-1.c patching file src/gcc/testsuite/gcc.target/avr/attribute-io.h patching file src/gcc/testsuite/gcc.target/avr/pr105523.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-0.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-1.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-2.c patching file src/gcc/testsuite/gcc.target/avr/pr112952-3.c patching file src/gcc/testsuite/gcc.target/avr/pr53372-1.c patching file src/gcc/testsuite/gcc.target/avr/pr53372-2.c patching file src/gcc/testsuite/gcc.target/i386/amxtile-4.c patching file src/gcc/testsuite/gcc.target/i386/avx2-gather-2.c patching file src/gcc/testsuite/gcc.target/i386/avx2-gather-6.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr88464-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr88464-5.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-11.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-3.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr88464-9.c patching file src/gcc/testsuite/gcc.target/i386/pr103144-mul-1.c patching file src/gcc/testsuite/gcc.target/i386/pr103144-mul-2.c patching file src/gcc/testsuite/gcc.target/i386/pr110170-3.c patching file src/gcc/testsuite/gcc.target/i386/pr111127.c patching file src/gcc/testsuite/gcc.target/i386/pr111306.c patching file src/gcc/testsuite/gcc.target/i386/pr111340.c patching file src/gcc/testsuite/gcc.target/i386/pr111736.c patching file src/gcc/testsuite/gcc.target/i386/pr111820-1.c patching file src/gcc/testsuite/gcc.target/i386/pr111820-2.c patching file src/gcc/testsuite/gcc.target/i386/pr111820-3.c patching file src/gcc/testsuite/gcc.target/i386/pr112495.c patching file src/gcc/testsuite/gcc.target/i386/pr112496.c patching file src/gcc/testsuite/gcc.target/i386/pr112672.c patching file src/gcc/testsuite/gcc.target/i386/pr112891-2.c patching file src/gcc/testsuite/gcc.target/i386/pr112891.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-1.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-2.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-3.c patching file src/gcc/testsuite/gcc.target/i386/pr113122-4.c patching file src/gcc/testsuite/gcc.target/i386/pr113622-1.c patching file src/gcc/testsuite/gcc.target/i386/pr113656.c patching file src/gcc/testsuite/gcc.target/i386/pr113670.c patching file src/gcc/testsuite/gcc.target/i386/pr113921.c patching file src/gcc/testsuite/gcc.target/i386/pr114184.c patching file src/gcc/testsuite/gcc.target/i386/pr114339.c patching file src/gcc/testsuite/gcc.target/i386/pr88531-1b.c patching file src/gcc/testsuite/gcc.target/i386/pr88531-1c.c patching file src/gcc/testsuite/gcc.target/i386/sse2-pr112816-2.c patching file src/gcc/testsuite/gcc.target/i386/sse2-pr112816.c patching file src/gcc/testsuite/gcc.target/loongarch/builtin_thread_pointer.c patching file src/gcc/testsuite/gcc.target/loongarch/cas-acquire.c patching file src/gcc/testsuite/gcc.target/powerpc/clone1.c patching file src/gcc/testsuite/gcc.target/powerpc/clone3.c patching file src/gcc/testsuite/gcc.target/powerpc/mod-1.c patching file src/gcc/testsuite/gcc.target/powerpc/mod-2.c patching file src/gcc/testsuite/gcc.target/powerpc/p10-vdivq-vmodq.c patching file src/gcc/testsuite/gcc.target/powerpc/pr110411-1.c patching file src/gcc/testsuite/gcc.target/powerpc/pr110411-2.c patching file src/gcc/testsuite/gcc.target/powerpc/pr111380-1.c patching file src/gcc/testsuite/gcc.target/powerpc/pr111380-2.c patching file src/gcc/testsuite/gcc.target/powerpc/pr113950.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96762.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-amo-add-5.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-5.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-6.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-compare-exchange-7.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-fence-5.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-load-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-load-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-load-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-store-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-store-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-store-compat-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-1.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-2.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-3.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-4.c patching file src/gcc/testsuite/gcc.target/riscv/amo-table-a-6-subword-amo-add-5.c patching file src/gcc/testsuite/gcc.target/riscv/arch-19.c patching file src/gcc/testsuite/gcc.target/riscv/pr89835.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/pr111412.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/pr111935.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/scalar_move-10.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/scalar_move-11.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1down-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1down-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1down-3.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1up-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1up-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/base/vslide1up-3.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-79.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-80.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-86.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-87.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-88.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-89.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/avl_single-90.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-24.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-25.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-26.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-3.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-36.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_back_prop-4.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_conflict-7.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_switch_vtype-14.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_switch_vtype-15.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vlmax_switch_vtype-16.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-1.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-5.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-6.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-7.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvl-8.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvlmax-2.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/vsetvl/vsetvlmax-4.c patching file src/gcc/testsuite/gdc.dg/imports/pr113125.d patching file src/gcc/testsuite/gdc.dg/pr110712.d patching file src/gcc/testsuite/gdc.dg/pr112270.d patching file src/gcc/testsuite/gdc.dg/pr112285.d patching file src/gcc/testsuite/gdc.dg/pr112290.d patching file src/gcc/testsuite/gdc.dg/pr113125.d patching file src/gcc/testsuite/gdc.dg/torture/pr113758.d patching file src/gcc/testsuite/gdc.dg/torture/pr114171.d patching file src/gcc/testsuite/gdc.test/compilable/previewin.d patching file src/gcc/testsuite/gfortran.dg/allocate_with_source_25.f90 patching file src/gcc/testsuite/gfortran.dg/allocate_with_source_27.f90 patching file src/gcc/testsuite/gfortran.dg/allocate_with_source_28.f90 patching file src/gcc/testsuite/gfortran.dg/associate_54.f90 patching file src/gcc/testsuite/gfortran.dg/associate_62.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_10.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_8.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_9.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_type_18.f90 patching file src/gcc/testsuite/gfortran.dg/coarray/alloc_comp_6.f90 patching file src/gcc/testsuite/gfortran.dg/coarray/alloc_comp_7.f90 patching file src/gcc/testsuite/gfortran.dg/coarray_poly_6.f90 patching file src/gcc/testsuite/gfortran.dg/coarray_poly_7.f90 patching file src/gcc/testsuite/gfortran.dg/coarray_poly_8.f90 patching file src/gcc/testsuite/gfortran.dg/contiguous_15.f90 patching file src/gcc/testsuite/gfortran.dg/dtio_25.f90 patching file src/gcc/testsuite/gfortran.dg/finalize_38.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_error_10.f patching file src/gcc/testsuite/gfortran.dg/implied_do_io_8.f90 patching file src/gcc/testsuite/gfortran.dg/is_contiguous_4.f90 patching file src/gcc/testsuite/gfortran.dg/ishftc_optional_size_1.f90 patching file src/gcc/testsuite/gfortran.dg/missing_optional_dummy_6a.f90 patching file src/gcc/testsuite/gfortran.dg/namelist_57.f90 patching file src/gcc/testsuite/gfortran.dg/namelist_65.f90 patching file src/gcc/testsuite/gfortran.dg/null_actual_4.f90 patching file src/gcc/testsuite/gfortran.dg/null_actual_5.f90 patching file src/gcc/testsuite/gfortran.dg/optional_deferred_char_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr100988.f90 patching file src/gcc/testsuite/gfortran.dg/pr101329.f90 patching file src/gcc/testsuite/gfortran.dg/pr102109.f90 patching file src/gcc/testsuite/gfortran.dg/pr102112.f90 patching file src/gcc/testsuite/gfortran.dg/pr102190.f90 patching file src/gcc/testsuite/gfortran.dg/pr102532.f90 patching file src/gcc/testsuite/gfortran.dg/pr103715.f90 patching file src/gcc/testsuite/gfortran.dg/pr104908.f90 patching file src/gcc/testsuite/gfortran.dg/pr105473.f90 patching file src/gcc/testsuite/gfortran.dg/pr108961.f90 patching file src/gcc/testsuite/gfortran.dg/pr109358.f90 patching file src/gcc/testsuite/gfortran.dg/pr109948.f90 patching file src/gcc/testsuite/gfortran.dg/pr110221.f patching file src/gcc/testsuite/gfortran.dg/pr111022.f90 patching file src/gcc/testsuite/gfortran.dg/pr111880.f90 patching file src/gcc/testsuite/gfortran.dg/pr112316.f90 patching file src/gcc/testsuite/gfortran.dg/pr114012.f90 patching file src/gcc/testsuite/gfortran.dg/pr67740.f90 patching file src/gcc/testsuite/gfortran.dg/pr68155.f90 patching file src/gcc/testsuite/gfortran.dg/pr92586.f90 patching file src/gcc/testsuite/gfortran.dg/pr96436_4.f90 patching file src/gcc/testsuite/gfortran.dg/pr96436_5.f90 patching file src/gcc/testsuite/gfortran.dg/pr99326.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_53.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_comp_53.f90 patching file src/gcc/testsuite/gfortran.dg/ubsan/missing_optional_dummy_8.f90 patching file src/gcc/testsuite/gm2/cpp/fail/cpp-fail.exp patching file src/gcc/testsuite/gm2/cpp/fail/foocpp.mod patching file src/gcc/testsuite/gm2/errors/fail/badabs.mod patching file src/gcc/testsuite/gm2/errors/fail/badenum.mod patching file src/gcc/testsuite/gm2/extensions/asm/fail/extensions-asm-fail.exp patching file src/gcc/testsuite/gm2/extensions/asm/fail/stressreturn.mod patching file src/gcc/testsuite/gm2/extensions/asm/pass/extensions-asm-pass.exp patching file src/gcc/testsuite/gm2/extensions/asm/pass/fooasm.mod patching file src/gcc/testsuite/gm2/iso/check/fail/iso-check-fail.exp patching file src/gcc/testsuite/gm2/iso/pass/constvec.mod patching file src/gcc/testsuite/gm2/iso/pass/constvec2.mod patching file src/gcc/testsuite/gm2/iso/run/pass/constvec3.mod patching file src/gcc/testsuite/gm2/iso/run/pass/m2date.mod patching file src/gcc/testsuite/gm2/iso/run/pass/testclock.mod patching file src/gcc/testsuite/gm2/iso/run/pass/testclock2.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/seqappend.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/skiplinetest.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testdelete.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testreadint.mod patching file src/gcc/testsuite/gm2/pim/fail/badxproc.mod patching file src/gcc/testsuite/gm2/pim/fail/foovaltype.mod patching file src/gcc/testsuite/gm2/pim/fail/highice.mod patching file src/gcc/testsuite/gm2/pim/fail/largeconst.mod patching file src/gcc/testsuite/gm2/pim/fail/largeconst2.mod patching file src/gcc/testsuite/gm2/pim/pass/fooasm3.mod patching file src/gcc/testsuite/gm2/pim/pass/program2.mod patching file src/gcc/testsuite/gm2/pim/run/pass/ForChar.mod patching file src/gcc/testsuite/gm2/pim/run/pass/hightests.mod patching file src/gcc/testsuite/gm2/pimlib/run/pass/testfiobinary.mod patching file src/gcc/testsuite/gm2/switches/auto-init/fail/switches-auto-init-fail.exp patching file src/gcc/testsuite/gm2/switches/case/fail/missingclause.mod patching file src/gcc/testsuite/gm2/switches/case/fail/switches-case-fail.exp patching file src/gcc/testsuite/gm2/switches/case/pass/enumcase.mod patching file src/gcc/testsuite/gm2/switches/case/pass/enumcase2.mod patching file src/gcc/testsuite/gm2/switches/case/pass/switches-case-pass.exp patching file src/gcc/testsuite/gm2/switches/check-all/pim2/fail/switches-check-all-pim2-fail.exp patching file src/gcc/testsuite/gm2/switches/check-all/plugin/iso/fail/switches-check-all-plugin-iso-fail.exp patching file src/gcc/testsuite/gm2/switches/check-all/plugin/pim2/fail/switches-check-all-plugin-pim2-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/cascade/fail/cascadedif.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/cascade/fail/switches-uninit-variable-checking-cascade-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/switches-uninit-variable-checking-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testlarge.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testlarge2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testrecinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testrecinit2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testrecinit5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testsmallrec.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testsmallrec2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testsmallvec.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testvarinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithnoptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithptr2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/fail/testwithptr3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/assignparam.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/switches-uninit-variable-checking-pass.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testrecinit3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testrecinit5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testsmallrec.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testsmallrec2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testvarinit.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testwithptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testwithptr2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/testwithptr3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/pass/tiny.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/switches-uninit-variable-checking-procedures-fail.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testdispose4.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew4.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnew6.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testnil.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/fail/testptrptr.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/assignparam2.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/switches-uninit-variable-checking-procedures-pass.exp patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testdispose3.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testdispose4.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testnew5.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testnew6.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testparamlvalue.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testparamrvalue.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testproc.mod patching file src/gcc/testsuite/gm2/switches/uninit-variable-checking/procedures/pass/testptrptr.mod patching file src/gcc/testsuite/gnat.dg/access10.adb patching file src/gcc/testsuite/gnat.dg/bip_prim_func2.adb patching file src/gcc/testsuite/gnat.dg/bip_prim_func2_pkg.adb patching file src/gcc/testsuite/gnat.dg/bip_prim_func2_pkg.ads patching file src/gcc/testsuite/gnat.dg/opt102.adb patching file src/gcc/testsuite/gnat.dg/opt102_pkg.adb patching file src/gcc/testsuite/gnat.dg/opt102_pkg.ads patching file src/gcc/testsuite/gnat.dg/opt104.adb patching file src/gcc/testsuite/gnat.dg/opt104.ads patching file src/gcc/testsuite/gnat.dg/predicate15.adb patching file src/gcc/testsuite/gnat.dg/specs/anon4.ads patching file src/gcc/testsuite/gnat.dg/varsize4.adb patching file src/gcc/testsuite/gnat.dg/varsize4.ads patching file src/gcc/testsuite/gnat.dg/varsize4_pkg.ads patching file src/gcc/testsuite/lib/gm2.exp patching file src/gcc/testsuite/lib/target-supports.exp patching file src/gcc/tree-call-cdce.cc patching file src/gcc/tree-data-ref.cc patching file src/gcc/tree-loop-distribution.cc patching file src/gcc/tree-object-size.cc patching file src/gcc/tree-scalar-evolution.cc patching file src/gcc/tree-sra.cc patching file src/gcc/tree-ssa-dse.cc patching file src/gcc/tree-ssa-forwprop.cc patching file src/gcc/tree-ssa-ifcombine.cc patching file src/gcc/tree-ssa-loop-im.cc patching file src/gcc/tree-ssa-loop-ivopts.cc patching file src/gcc/tree-ssa-loop-niter.cc patching file src/gcc/tree-ssa-loop-unswitch.cc patching file src/gcc/tree-ssa-phiopt.cc patching file src/gcc/tree-ssa-pre.cc patching file src/gcc/tree-ssa-propagate.cc patching file src/gcc/tree-ssa-reassoc.cc patching file src/gcc/tree-ssa-sccvn.cc patching file src/gcc/tree-ssa-strlen.cc patching file src/gcc/tree-ssa-threadupdate.cc patching file src/gcc/tree-ssa.cc patching file src/gcc/tree-vect-data-refs.cc patching file src/gcc/tree-vect-generic.cc patching file src/gcc/tree-vect-loop-manip.cc patching file src/gcc/tree-vect-loop.cc patching file src/gcc/tree-vect-slp.cc patching file src/gcc/ubsan.cc patching file src/gcc/value-range-storage.h patching file src/gcc/value-range.cc patching file src/gcc/value-range.h patching file src/gcc/value-relation.cc patching file src/gcc/value-relation.h patching file src/gcc/varasm.cc patching file src/libbacktrace/ChangeLog patching file src/libbacktrace/zstdtest.c patching file src/libcpp/ChangeLog patching file src/libcpp/include/cpplib.h patching file src/libcpp/lex.cc patching file src/libgcc/ChangeLog patching file src/libgcc/config/avr/libf7/ChangeLog patching file src/libgcc/config/avr/libf7/asm-defs.h patching file src/libgcc/config/avr/libf7/f7-renames.h patching file src/libgcc/config/avr/libf7/libf7-asm.sx patching file src/libgcc/config/avr/libf7/libf7-common.mk patching file src/libgcc/config/avr/libf7/libf7-const.def patching file src/libgcc/config/avr/libf7/libf7.c patching file src/libgcc/config/avr/libf7/libf7.h patching file src/libgcc/config/avr/libf7/t-libf7 patching file src/libgcc/config/i386/gthr-win32-cond.c patching file src/libgcc/config/riscv/atomic.c patching file src/libgcc/unwind-dw2-fde-dip.c patching file src/libgcc/unwind-dw2-fde.c patching file src/libgfortran/ChangeLog patching file src/libgfortran/io/format.c patching file src/libgfortran/io/format.h patching file src/libgfortran/io/list_read.c patching file src/libgfortran/io/read.c patching file src/libgfortran/io/transfer.c patching file src/libgfortran/io/write.c patching file src/libgfortran/io/write_float.def patching file src/libgfortran/libgfortran.spec.in patching file src/libgm2/ChangeLog patching file src/libgm2/Makefile.in patching file src/libgm2/aclocal.m4 patching file src/libgm2/config.h.in patching file src/libgm2/configure patching file src/libgm2/configure.ac patching file src/libgm2/libm2cor/KeyBoardLEDs.cc patching file src/libgm2/libm2cor/Makefile.am patching file src/libgm2/libm2cor/Makefile.in patching file src/libgm2/libm2iso/Makefile.am patching file src/libgm2/libm2iso/Makefile.in patching file src/libgm2/libm2iso/wrapclock.cc patching file src/libgm2/libm2iso/wraptime.cc patching file src/libgm2/libm2log/Makefile.am patching file src/libgm2/libm2log/Makefile.in patching file src/libgm2/libm2min/Makefile.in patching file src/libgm2/libm2pim/Makefile.am patching file src/libgm2/libm2pim/Makefile.in patching file src/libgomp/ChangeLog patching file src/libgomp/configure patching file src/libgomp/configure.ac patching file src/libgomp/env.c patching file src/libgomp/testsuite/libgomp.c-c++-common/non-rect-loop-1.c patching file src/libphobos/ChangeLog patching file src/libphobos/libdruntime/core/cpuid.d patching file src/libsanitizer/ChangeLog patching file src/libsanitizer/sanitizer_common/sanitizer_stacktrace_sparc.cpp patching file src/libsanitizer/sanitizer_common/sanitizer_unwind_linux_libcdep.cpp patching file src/libstdc++-v3/ChangeLog patching file src/libstdc++-v3/acinclude.m4 patching file src/libstdc++-v3/config.h.in patching file src/libstdc++-v3/configure patching file src/libstdc++-v3/doc/html/index.html patching file src/libstdc++-v3/doc/html/manual/api.html patching file src/libstdc++-v3/doc/html/manual/appendix.html patching file src/libstdc++-v3/doc/html/manual/appendix_contributing.html patching file src/libstdc++-v3/doc/html/manual/appendix_porting.html patching file src/libstdc++-v3/doc/html/manual/configure.html patching file src/libstdc++-v3/doc/html/manual/index.html patching file src/libstdc++-v3/doc/html/manual/source_code_style.html patching file src/libstdc++-v3/doc/html/manual/status.html patching file src/libstdc++-v3/doc/html/manual/test.html patching file src/libstdc++-v3/doc/html/manual/using.html patching file src/libstdc++-v3/doc/html/manual/using_dynamic_or_shared.html patching file src/libstdc++-v3/doc/xml/manual/appendix_contributing.xml patching file src/libstdc++-v3/doc/xml/manual/configure.xml patching file src/libstdc++-v3/doc/xml/manual/evolution.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2011.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2014.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2017.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2020.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2023.xml patching file src/libstdc++-v3/doc/xml/manual/test.xml patching file src/libstdc++-v3/doc/xml/manual/using.xml patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/libstdc++-v3/include/bits/algorithmfwd.h patching file src/libstdc++-v3/include/bits/alloc_traits.h patching file src/libstdc++-v3/include/bits/atomic_wait.h patching file src/libstdc++-v3/include/bits/basic_string.h patching file src/libstdc++-v3/include/bits/basic_string.tcc patching file src/libstdc++-v3/include/bits/char_traits.h patching file src/libstdc++-v3/include/bits/chrono.h patching file src/libstdc++-v3/include/bits/chrono_io.h patching file src/libstdc++-v3/include/bits/fs_dir.h patching file src/libstdc++-v3/include/bits/hashtable.h patching file src/libstdc++-v3/include/bits/hashtable_policy.h patching file src/libstdc++-v3/include/bits/list.tcc patching file src/libstdc++-v3/include/bits/new_allocator.h patching file src/libstdc++-v3/include/bits/random.tcc patching file src/libstdc++-v3/include/bits/ranges_util.h patching file src/libstdc++-v3/include/bits/regex_executor.tcc patching file src/libstdc++-v3/include/bits/shared_ptr_atomic.h patching file src/libstdc++-v3/include/bits/stl_algo.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/bits/stl_bvector.h patching file src/libstdc++-v3/include/bits/stl_iterator.h patching file src/libstdc++-v3/include/bits/stl_iterator_base_types.h patching file src/libstdc++-v3/include/bits/stl_tree.h patching file src/libstdc++-v3/include/bits/stl_uninitialized.h patching file src/libstdc++-v3/include/bits/streambuf_iterator.h patching file src/libstdc++-v3/include/bits/uses_allocator.h patching file src/libstdc++-v3/include/bits/utility.h patching file src/libstdc++-v3/include/bits/vector.tcc patching file src/libstdc++-v3/include/c_global/cmath patching file src/libstdc++-v3/include/debug/safe_iterator.tcc patching file src/libstdc++-v3/include/debug/safe_local_iterator.tcc patching file src/libstdc++-v3/include/experimental/bits/fs_path.h patching file src/libstdc++-v3/include/experimental/internet patching file src/libstdc++-v3/include/experimental/scope patching file src/libstdc++-v3/include/std/array patching file src/libstdc++-v3/include/std/charconv patching file src/libstdc++-v3/include/std/chrono patching file src/libstdc++-v3/include/std/complex patching file src/libstdc++-v3/include/std/expected patching file src/libstdc++-v3/include/std/format patching file src/libstdc++-v3/include/std/future patching file src/libstdc++-v3/include/std/iterator patching file src/libstdc++-v3/include/std/optional patching file src/libstdc++-v3/include/std/ranges patching file src/libstdc++-v3/include/std/ratio patching file src/libstdc++-v3/include/std/scoped_allocator patching file src/libstdc++-v3/include/std/shared_mutex patching file src/libstdc++-v3/include/std/span patching file src/libstdc++-v3/include/std/stacktrace patching file src/libstdc++-v3/include/std/string_view patching file src/libstdc++-v3/include/std/syncstream patching file src/libstdc++-v3/include/std/system_error patching file src/libstdc++-v3/include/std/tuple patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/std/utility patching file src/libstdc++-v3/include/std/valarray patching file src/libstdc++-v3/include/std/variant patching file src/libstdc++-v3/include/std/version patching file src/libstdc++-v3/include/tr2/dynamic_bitset patching file src/libstdc++-v3/include/tr2/type_traits patching file src/libstdc++-v3/libsupc++/compare patching file src/libstdc++-v3/libsupc++/new_opa.cc patching file src/libstdc++-v3/python/libstdcxx/v6/__init__.py patching file src/libstdc++-v3/python/libstdcxx/v6/printers.py patching file src/libstdc++-v3/python/libstdcxx/v6/xmethods.py patching file src/libstdc++-v3/scripts/testsuite_flags.in patching file src/libstdc++-v3/src/c++11/Makefile.am patching file src/libstdc++-v3/src/c++11/Makefile.in patching file src/libstdc++-v3/src/c++11/assert_fail.cc patching file src/libstdc++-v3/src/c++11/cow-locale_init.cc patching file src/libstdc++-v3/src/c++11/debug.cc patching file src/libstdc++-v3/src/c++11/system_error.cc patching file src/libstdc++-v3/src/c++17/fs_ops.cc patching file src/libstdc++-v3/src/c++17/fs_path.cc patching file src/libstdc++-v3/src/c++20/Makefile.am patching file src/libstdc++-v3/src/c++20/Makefile.in patching file src/libstdc++-v3/src/c++20/tzdata.zi patching file src/libstdc++-v3/src/c++20/tzdb.cc patching file src/libstdc++-v3/src/experimental/Makefile.am patching file src/libstdc++-v3/src/experimental/Makefile.in patching file src/libstdc++-v3/src/experimental/contract.cc patching file src/libstdc++-v3/src/filesystem/ops-common.h patching file src/libstdc++-v3/src/filesystem/ops.cc patching file src/libstdc++-v3/src/filesystem/path.cc patching file src/libstdc++-v3/src/libbacktrace/Makefile.am patching file src/libstdc++-v3/src/libbacktrace/Makefile.in patching file src/libstdc++-v3/testsuite/18_support/comparisons/categories/zero_neg.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/current.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/entry.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/hash.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/stacktrace.cc patching file src/libstdc++-v3/testsuite/20_util/duration/io.cc patching file src/libstdc++-v3/testsuite/20_util/duration/requirements/typedefs_neg1.cc patching file src/libstdc++-v3/testsuite/20_util/duration/requirements/typedefs_neg2.cc patching file src/libstdc++-v3/testsuite/20_util/integer_sequence/112473.cc patching file src/libstdc++-v3/testsuite/20_util/integer_sequence/pr111357.cc patching file src/libstdc++-v3/testsuite/20_util/ratio/requirements/type_constraints.cc patching file src/libstdc++-v3/testsuite/20_util/tuple/cons/114147.cc patching file src/libstdc++-v3/testsuite/20_util/uses_allocator/lwg3677.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/capacity/char/resize_and_overwrite.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/modifiers/constexpr.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/cons/char/range_c++20.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/cons/wchar_t/range_c++20.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/modifiers/remove_prefix/debug.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string_view/modifiers/remove_suffix/debug.cc patching file src/libstdc++-v3/testsuite/21_strings/char_traits/requirements/113200.cc patching file src/libstdc++-v3/testsuite/23_containers/array/creation/110167.cc patching file src/libstdc++-v3/testsuite/23_containers/array/creation/111512.cc patching file src/libstdc++-v3/testsuite/23_containers/map/debug/112477.cc patching file src/libstdc++-v3/testsuite/23_containers/set/debug/114316.cc patching file src/libstdc++-v3/testsuite/23_containers/span/back_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/back_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/first_2_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/first_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/first_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/front_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/front_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/index_op_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/index_op_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/last_2_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/last_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/last_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/nodiscard.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_2_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_3_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_4_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_5_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_6_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_assert_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/subspan_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/modifiers/merge.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_multimap/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_multiset/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/debug/114316.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/operations/1.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/bool/110807.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/debug/114316.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/debug/assign4_backtrace_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/debug/n3644.cc patching file src/libstdc++-v3/testsuite/24_iterators/move_iterator/p2520r0.cc patching file src/libstdc++-v3/testsuite/25_algorithms/lexicographical_compare_three_way/113960.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/1.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/59603.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/deprecated.cc patching file src/libstdc++-v3/testsuite/25_algorithms/random_shuffle/moveable.cc patching file src/libstdc++-v3/testsuite/26_numerics/random/pr60037-neg.cc patching file src/libstdc++-v3/testsuite/26_numerics/random/subtract_with_carry_engine/cons/lwg3809.cc patching file src/libstdc++-v3/testsuite/26_numerics/valarray/99117.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/equivalent.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/108636.cc patching file src/libstdc++-v3/testsuite/27_io/objects/char/2.cc patching file src/libstdc++-v3/testsuite/27_io/objects/wchar_t/2.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic/compare_exchange_padding.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic/cons/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/clear/1.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/cons/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/test_and_set/explicit.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_flag/test_and_set/implicit.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_float/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_integral/cons/value_init.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/compare_exchange_padding.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/generic.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/integral.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_ref/pointer.cc patching file src/libstdc++-v3/testsuite/29_atomics/headers/atomic/types_std_c++2a_neg.cc patching file src/libstdc++-v3/testsuite/30_threads/shared_lock/locking/112089.cc patching file src/libstdc++-v3/testsuite/experimental/filesystem/operations/equivalent.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/network/v6/cons.cc patching file src/libstdc++-v3/testsuite/experimental/scopeguard/114152.cc patching file src/libstdc++-v3/testsuite/lib/dg-options.exp patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/chrono.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/compat.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/cxx11.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/cxx17.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/libfundts.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/locale.cc patching file src/libstdc++-v3/testsuite/libstdc++-xmethods/deque.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/112607.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/args.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/args_neg.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/lwg3810.cc patching file src/libstdc++-v3/testsuite/std/format/formatter/112832.cc patching file src/libstdc++-v3/testsuite/std/format/formatter/basic.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format_c++23.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format_to.cc patching file src/libstdc++-v3/testsuite/std/format/functions/format_to_n.cc patching file src/libstdc++-v3/testsuite/std/format/functions/vformat_to.cc patching file src/libstdc++-v3/testsuite/std/format/string.cc patching file src/libstdc++-v3/testsuite/std/ranges/iota/max_size_type.cc patching file src/libstdc++-v3/testsuite/std/ranges/subrange/111948.cc patching file src/libstdc++-v3/testsuite/std/time/clock/file/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/file/members.cc patching file src/libstdc++-v3/testsuite/std/time/clock/gps/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/system/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/tai/io.cc patching file src/libstdc++-v3/testsuite/std/time/clock/utc/io.cc patching file src/libstdc++-v3/testsuite/std/time/day/io.cc patching file src/libstdc++-v3/testsuite/std/time/format.cc patching file src/libstdc++-v3/testsuite/std/time/hh_mm_ss/io.cc patching file src/libstdc++-v3/testsuite/std/time/month/1.cc patching file src/libstdc++-v3/testsuite/std/time/month/2.cc patching file src/libstdc++-v3/testsuite/std/time/month/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_day/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_day_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_weekday/io.cc patching file src/libstdc++-v3/testsuite/std/time/month_weekday_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/tzdb/leap_seconds.cc patching file src/libstdc++-v3/testsuite/std/time/weekday/1.cc patching file src/libstdc++-v3/testsuite/std/time/weekday/2.cc patching file src/libstdc++-v3/testsuite/std/time/weekday/io.cc patching file src/libstdc++-v3/testsuite/std/time/weekday_indexed/io.cc patching file src/libstdc++-v3/testsuite/std/time/weekday_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/year/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_day/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_day_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_weekday/io.cc patching file src/libstdc++-v3/testsuite/std/time/year_month_weekday_last/io.cc patching file src/libstdc++-v3/testsuite/std/time/zoned_time/io.cc patching file src/libstdc++-v3/testsuite/tr1/8_c_compatibility/cstdio/functions.cc patching file src/libstdc++-v3/testsuite/tr1/8_c_compatibility/cwchar/functions.cc patching file src/libstdc++-v3/testsuite/tr2/dynamic_bitset/string.cc patching file src/libstdc++-v3/testsuite/util/pstl/test_utils.h patching file src/libstdc++-v3/testsuite/util/testsuite_allocator.h Applying patch git-doc-updates.diff patching file src/gcc/doc/avr-mmcu.texi patching file src/gcc/doc/extend.texi patching file src/gcc/doc/generic.texi patching file src/gcc/doc/gm2.texi patching file src/gcc/doc/invoke.texi patching file src/gcc/doc/rtl.texi patching file src/gcc/fortran/gfortran.texi patching file src/gcc/m2/target-independent/m2/gm2-libs.texi Applying patch rename-info-files.diff patching file src/gcc/fortran/gfortran.texi patching file src/gcc/fortran/Make-lang.in patching file src/gcc/Makefile.in patching file src/gcc/ada/gnat-style.texi patching file src/gcc/ada/gnat_rm.texi patching file src/gcc/doc/invoke.texi patching file src/gcc/doc/extend.texi patching file src/gcc/doc/standards.texi patching file src/gcc/doc/libgcc.texi patching file src/gcc/doc/gccint.texi patching file src/gcc/doc/cpp.texi patching file src/gcc/doc/gcc.texi patching file src/gcc/doc/install.texi patching file src/gcc/doc/cppinternals.texi patching file src/libgomp/libgomp.texi patching file src/libgomp/Makefile.in patching file src/libgomp/Makefile.am patching file src/libitm/libitm.texi patching file src/libitm/Makefile.am patching file src/libitm/Makefile.in patching file src/gcc/go/Make-lang.in patching file src/gcc/go/gccgo.texi patching file src/libquadmath/libquadmath.texi patching file src/libquadmath/Makefile.am patching file src/libquadmath/Makefile.in Applying patch gcc-gfdl-build.diff patching file src/gcc/Makefile.in Applying patch gcc-textdomain.diff patching file src/gcc/intl.cc patching file src/gcc/Makefile.in Hunk #1 succeeded at 4460 (offset 59 lines). patching file src/libcpp/init.cc patching file src/libcpp/system.h patching file src/libcpp/Makefile.in Applying patch gcc-distro-specs.diff patching file src/gcc/gcc.cc patching file src/gcc/cp/lang-specs.h patching file src/gcc/objc/lang-specs.h patching file src/gcc/objcp/lang-specs.h Applying patch gcc-driver-extra-langs.diff patching file src/gcc/Makefile.in Applying patch gcc-hash-style-gnu.diff patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/riscv/linux.h Applying patch libstdc++-doclink.diff patching file src/libstdc++-v3/doc/doxygen/mainpage.html patching file src/libstdc++-v3/doc/html/api.html patching file src/libstdc++-v3/doc/xml/api.xml Applying patch libstdc++-man-3cxx.diff patching file src/libstdc++-v3/doc/doxygen/user.cfg.in patching file src/libstdc++-v3/scripts/run_doxygen Applying patch libstdc++-test-installed.diff patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp Applying patch libstdc++-doxygen-SOURCE_DATE_EPOCH.diff patching file src/libstdc++-v3/scripts/run_doxygen Applying patch alpha-no-ev4-directive.diff patching file src/gcc/config/alpha/alpha.cc Applying patch note-gnu-stack.diff patching file src/libgcc/config/ia64/crtbegin.S patching file src/libgcc/config/ia64/crtend.S patching file src/libgcc/config/ia64/crti.S patching file src/libgcc/config/ia64/crtn.S patching file src/libgcc/config/ia64/lib1funcs.S patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/ppc-asm.h Applying patch libgomp-omp_h-multilib.diff patching file src/libgomp/omp.h.in Applying patch libgo-testsuite.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch libgo-cleanfiles.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch gcc-target-include-asm.diff patching file src/configure.ac Applying patch libgo-revert-timeout-exp.diff patching file src/libgo/testsuite/lib/libgo.exp Applying patch libgo-setcontext-config.diff patching file src/libgo/configure.ac Applying patch gcc-auto-build.diff patching file src/gcc/configure.ac Applying patch libitm-no-fortify-source.diff patching file src/libitm/configure.tgt Applying patch sparc64-biarch-long-double-128.diff patching file src/gcc/config/sparc/linux64.h Applying patch pr66368.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch pr67590.diff patching file src/libcc1/configure.ac Applying patch libffi-race-condition.diff patching file src/libffi/src/closures.c Applying patch cuda-float128.diff patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/bits/std_abs.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/std/numbers Applying patch t-libunwind-elf-Wl-z-defs.diff patching file src/libgcc/config/t-libunwind-elf Applying patch gcc-force-cross-layout.diff patching file src/configure.ac patching file src/gcc/configure.ac Applying patch gcc-search-prefixed-as-ld.diff patching file src/gcc/gcc.cc Applying patch kfreebsd-decimal-float.diff patching file src/gcc/configure.ac patching file src/libdecnumber/configure.ac patching file src/libgcc/configure.ac Applying patch pr87808.diff patching file src/gcc/jit/Make-lang.in patching file src/gcc/jit/jit-playback.cc Applying patch pr94253.diff patching file src/gcc/config/rs6000/rs6000.cc Applying patch gcc-arm-disable-guality-tests.diff patching file src/gcc/testsuite/gcc.dg/guality/guality.exp Applying patch musl-ssp.diff patching file src/gcc/gcc.cc Applying patch pr79724-revert.diff patching file src/gcc/ada/osint.adb Applying patch pr104290-followup.diff patching file src/gcc/config/gnu.h Applying patch arc-stddef.diff patching file src/gcc/config/arc/arc-arch.h Applying patch pr107475.diff patching file src/gcc/ada/Makefile.rtl Applying patch gccrs-bootstrap-mipsel.diff patching file src/configure.ac Applying patch pr110066.diff patching file src/libgcc/config.host patching file src/libgcc/config/riscv/t-crtstuff Applying patch pr88552.diff patching file src/gcc/fortran/decl.cc patching file src/gcc/testsuite/gfortran.dg/pr88552.f90 Applying patch hppa64-libgcov-fallback.diff patching file src/libgcc/libgcov.h Applying patch toplevel-add-gprofng.diff patching file src/Makefile.def patching file src/Makefile.in patching file src/configure.ac patching file src/include/collectorAPI.h patching file src/include/libcollector.h patching file src/include/libfcollector.h Applying patch toplevel-add-libsframe.diff patching file src/Makefile.def patching file src/Makefile.in Applying patch libiberty-sha1-1.diff patching file src/include/sha1.h patching file src/libiberty/config.in patching file src/libiberty/configure patching file src/libiberty/configure.ac patching file src/libiberty/sha1.c Applying patch libiberty-sha1-2.diff patching file src/config/hwcaps.m4 patching file src/libiberty/Makefile.in patching file src/libiberty/aclocal.m4 patching file src/libiberty/configure patching file src/libiberty/configure.ac Applying patch pr113030.diff patching file src/gcc/config/arm/parsecpu.awk Applying patch libsanitizer-timebits.diff patching file src/libsanitizer/sanitizer_common/sanitizer_procmaps_solaris.cpp patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp Applying patch asan-allocator-base.diff patching file src/libsanitizer/asan/asan_allocator.h Applying patch gcc-vhdl.diff patching file src/gcc/config/rs6000/rs6000-logue.cc Applying patch pr110934.diff patching file src/gcc/config/m68k/m68k.cc patching file src/gcc/testsuite/gcc.target/m68k/pr110934.c Applying patch pr114533-proposed.diff patching file src/libquadmath/printf/printf_fp.c Applying patch libsanitizer-no-crypt.diff patching file src/libsanitizer/sanitizer_common/sanitizer_common_interceptors.inc patching file src/libsanitizer/sanitizer_common/sanitizer_platform_interceptors.h patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.cpp patching file src/libsanitizer/sanitizer_common/sanitizer_platform_limits_posix.h Applying patch pr99832-distro.diff patching file src/libstdc++-v3/config/os/gnu-linux/os_defines.h patching file src/libstdc++-v3/include/bits/c++config patching file src/libstdc++-v3/include/bits/chrono.h Applying patch pr114065-proposed.diff patching file src/gcc/ada/Makefile.rtl Applying patch ada-gcc-name.diff patching file src/gcc/ada/osint.ads patching file src/gcc/ada/osint.adb patching file src/gcc/ada/gnatchop.adb Applying patch ada-verbose.diff patching file src/gcc/ada/Make-generated.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-link-lib.diff patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/ada/link.c patching file src/libada/Makefile.in patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/testsuite/lib/gnat.exp Applying patch ada-gnattools-cross.diff patching file src/gcc/ada/Makefile.rtl patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gnattools/Makefile.in Applying patch ada-lib-info-source-date-epoch.diff patching file src/gcc/ada/osint.adb patching file src/gcc/ada/osint.ads Applying patch ada-perl-shebang.diff patching file src/gcc/ada/gnathtml.pl Applying patch disable-gdc-tests.diff patching file src/gcc/d/Make-lang.in Hunk #1 succeeded at 296 (offset 90 lines). Applying patch alpha-ieee.diff patching file src/gcc/config/alpha/alpha.cc Applying patch alpha-ieee-doc.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 24247 (offset 78 lines). Applying patch sys-auxv-header.diff patching file src/gcc/configure.ac patching file src/gcc/config.in patching file src/gcc/config/rs6000/driver-rs6000.cc Applying patch gdc-dynamic-link-phobos.diff patching file src/gcc/d/d-spec.cc Applying patch ia64-disable-selective-scheduling.diff patching file src/gcc/config/ia64/ia64.cc Applying patch libstdc++-pythondir.diff patching file src/libstdc++-v3/python/Makefile.am patching file src/libstdc++-v3/python/Makefile.in Applying patch gcc-verbose-lto-link.diff Patch gcc-verbose-lto-link.diff appears to be empty; applied Applying patch ada-armel-libatomic.diff patching file src/gcc/ada/Makefile.rtl patching file src/Makefile.def patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-kfreebsd.diff patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/s-oscons-tmplt.c Applying patch hurd-amd64.diff patching file src/gcc/config.gcc patching file src/gcc/config/i386/t-gnu64 patching file src/gcc/config/i386/gnu.h patching file src/gcc/config/i386/gnu64.h Applying patch hurd-multiarch.diff patching file src/gcc/config/i386/t-gnu64 Applying patch hurd-multilib-multiarch.diff patching file src/gcc/config/i386/t-gnu64 Applying patch gcc-ice-dump.diff patching file src/gcc/gcc.cc Applying patch gcc-ice-apport.diff patching file src/gcc/gcc.cc Applying patch skip-bootstrap-multilib.diff patching file src/config-ml.in Applying patch libffi-ro-eh_frame_sect.diff patching file src/libffi/configure.ac Applying patch gcc-multiarch.diff patching file src/gcc/config/sh/t-linux patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/i386/t-kfreebsd patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config.gcc patching file src/gcc/config/riscv/t-linux patching file src/gcc/Makefile.in patching file src/gcc/config/aarch64/t-aarch64-linux patching file src/gcc/config/arc/t-multilib-linux Applying patch config-ml.diff patching file src/config-ml.in Applying patch g++-multiarch-incdir.diff patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/gcc/Makefile.in patching file src/gcc/cppdefault.cc patching file src/gcc/incpath.cc Applying patch canonical-cpppath.diff patching file src/gcc/incpath.cc Applying patch gcc-multilib-multiarch.diff patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/rs6000/t-linux patching file src/gcc/config/loongarch/t-linux Applying patch gcc-as-needed.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/mips/gnu-user.h patching file src/gcc/config/riscv/linux.h patching file src/gcc/config/m68k/linux.h patching file src/gcc/config/sh/linux.h patching file src/gcc/config/pa/pa-linux.h patching file src/gcc/config/loongarch/gnu-user.h Applying patch gcc-as-needed-gold.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h Applying patch libgomp-kfreebsd-testsuite.diff patching file src/libgomp/testsuite/libgomp.c/lock-2.c Applying patch go-testsuite.diff patching file src/gcc/testsuite/go.test/go-test.exp Applying patch gcc-distro-specs-ubuntu-doc.diff patching file src/gcc/doc/invoke.texi Applying patch testsuite-hardening-format.diff patching file src/gcc/testsuite/gcc.c-torture/execute/vfprintf-chk-1.x patching file src/gcc/testsuite/gcc.c-torture/execute/vprintf-chk-1.x patching file src/gcc/testsuite/gcc.dg/charset/builtin2.c patching file src/gcc/testsuite/gcc.dg/format/format.exp patching file src/gcc/testsuite/gcc.dg/pr30473.c patching file src/gcc/testsuite/gcc.dg/pr38902.c patching file src/gcc/testsuite/gcc.dg/pr59418.c patching file src/gcc/testsuite/gcc.dg/ipa/ipa-sra-1.c patching file src/gcc/testsuite/gcc.dg/lto/20090218-2_0.c patching file src/gcc/testsuite/c-c++-common/torture/vector-compare-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vprintf-chk-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-printf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-printf-chk-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-fprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-fprintf-chk-1.c patching file src/gcc/testsuite/gcc.dg/torture/tls/tls-test.c patching file src/gcc/testsuite/objc.dg/torture/strings/const-str-3.m patching file src/gcc/testsuite/g++.dg/abi/pragma-pack1.C patching file src/gcc/testsuite/g++.dg/abi/regparm1.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-tuple.C patching file src/gcc/testsuite/g++.dg/torture/pr51436.C patching file src/gcc/testsuite/g++.old-deja/g++.law/weak.C patching file src/gcc/testsuite/g++.old-deja/g++.other/std1.C patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vfprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vfprintf-chk-1.c Applying patch testsuite-hardening-printf-types.diff patching file src/gcc/testsuite/g++.dg/ext/align1.C patching file src/gcc/testsuite/g++.old-deja/g++.law/operators28.C patching file src/gcc/testsuite/gcc.dg/torture/matrix-2.c patching file src/gcc/testsuite/gcc.dg/packed-vla.c patching file src/gcc/testsuite/g++.dg/opt/alias2.C patching file src/gcc/testsuite/g++.old-deja/g++.abi/vbase1.C patching file src/gcc/testsuite/g++.old-deja/g++.brendan/template8.C patching file src/gcc/testsuite/g++.old-deja/g++.eh/ptr1.C patching file src/gcc/testsuite/g++.old-deja/g++.jason/access23.C patching file src/gcc/testsuite/g++.old-deja/g++.law/cvt8.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/net35.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/offset1.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p12306.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3579.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708a.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708b.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p646.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p710.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p789a.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/pmf2.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/temp.C patching file src/gcc/testsuite/g++.old-deja/g++.other/temporary1.C patching file src/gcc/testsuite/g++.old-deja/g++.other/virtual8.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp23.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp24.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp25.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp26.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/t39.C patching file src/gcc/testsuite/g++.old-deja/g++.robertl/eb17.C patching file src/gcc/testsuite/gcc.dg/pch/inline-4.c Applying patch testsuite-hardening-updates.diff patching file src/gcc/testsuite/g++.dg/asan/asan_test.C patching file src/gcc/testsuite/g++.dg/asan/interception-malloc-test-1.C patching file src/gcc/testsuite/gcc.c-torture/execute/memset-1.c patching file src/gcc/testsuite/c-c++-common/asan/strncpy-overflow-1.c patching file src/gcc/testsuite/gcc.dg/fstack-protector-strong.c patching file src/gcc/testsuite/g++.dg/fstack-protector-strong.C patching file src/gcc/testsuite/gcc.c-torture/execute/memset-1.x Applying patch testsuite-glibc-warnings.diff patching file src/gcc/testsuite/c-c++-common/tsan/fd_pipe_race.c Now at patch testsuite-glibc-warnings.diff : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /<>/builddir/gcc/src/fixincludes && ./genfixes sync echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgm2/ src/libgo/ src/libgomp/ src/libiberty/ src/libstdc++-v3/ | xargs -d ' ' -L 1 -P 4 -I{} \ sh -c 'echo "Running autoconf2.69 in {}..." ; \ cd /<>/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69' xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value Running autoconf2.69 in src/... Running autoconf2.69 in src/gcc/... Running autoconf2.69 in src/libcc1/... Running autoconf2.69 in src/libdecnumber/... Running autoconf2.69 in src/libffi/... Running autoconf2.69 in src/libgcc/... Running autoconf2.69 in src/libgm2/... Running autoconf2.69 in src/libgo/... Running autoconf2.69 in src/libgomp/... Running autoconf2.69 in src/libiberty/... Running autoconf2.69 in src/libstdc++-v3/... for i in git-updates git-doc-updates rename-info-files gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed libstdc++-doxygen-SOURCE_DATE_EPOCH alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libffi-race-condition cuda-float128 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 pr94253 gcc-arm-disable-guality-tests musl-ssp pr79724-revert pr104290-followup arc-stddef pr107475 gccrs-bootstrap-mipsel pr110066 pr88552 hppa64-libgcov-fallback toplevel-add-gprofng toplevel-add-libsframe libiberty-sha1-1 libiberty-sha1-2 pr113030 libsanitizer-timebits asan-allocator-base gcc-vhdl pr110934 pr114533-proposed libsanitizer-no-crypt pr99832-distro pr114065-proposed ada-gcc-name ada-verbose ada-link-lib ada-gnattools-cross ada-lib-info-source-date-epoch ada-perl-shebang disable-gdc-tests alpha-ieee alpha-ieee-doc sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling libstdc++-pythondir gcc-verbose-lto-link ada-armel-libatomic ada-kfreebsd hurd-amd64 hurd-multiarch hurd-multilib-multiarch gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite gcc-distro-specs-ubuntu-doc testsuite-hardening-format testsuite-hardening-printf-types testsuite-hardening-updates testsuite-glibc-warnings; do \ echo -e "\n$i:" >> pxxx; \ sed -n 's/^# *DP: */ /p' debian/patches/$i.diff >> pxxx; \ done : # generate the distro-defaults.h header rm -f /<>/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '/* distro specific configuration injected by the distro build. */'; \ echo ''; \ echo '#ifndef ACCEL_COMPILER' \ ) >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_ASYNC_UNWIND 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_SSP 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_SSP_STRONG 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_FORMAT_SECURITY 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '#define DIST_DEFAULT_FORTIFY_SOURCE 3'; \ echo '#define DIST_DEFAULT_FORTIFY_SOURCE_S "3"' \ ) >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_RELRO 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_BIND_NOW 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_STACK_CLASH 1' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h echo '#endif' \ >> /<>/builddir/gcc/src/gcc/distro-defaults.h mv pxxx stamps/02-patch-stamp make[2]: Leaving directory '/<>/builddir/gcc' gcc-13 (Ubuntu 13.2.0-23ubuntu3) 13.2.0 Copyright (C) 2023 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Build machine is: aarch64-linux-gnu create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in make[2]: Entering directory '/<>/builddir/gcc' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/gcc/vhdl/$d; \ done make[2]: Leaving directory '/<>/builddir/gcc' Generate ghdlsynth_maybe.ads Generate grt-readline.ads make[2]: Entering directory '/<>/builddir/gcc' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf src/gcc/vhdl mkdir src/gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl cp -p grt-readline.ads src/gcc/vhdl cp -p ../../src/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl cp -p ../../src/synth/*.ad? src/gcc/vhdl cp -p ../../src/psl/*.ad? src/gcc/vhdl cp -p ../../src/verilog/*.ad? src/gcc/vhdl cp -p ../../src/grt/grt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-types.ads src/gcc/vhdl cp -p ../../src/grt/grt-vhdl_types.ads src/gcc/vhdl cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl cp -p ../../src/grt/grt-arith.ad? src/gcc/vhdl cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-rstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl cp -p ../../src/grt/grt-astdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files.ad? src/gcc/vhdl cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl cp -p ../../src/grt/grt-readline_*.ad? src/gcc/vhdl cp -p ../../src/grt/grt-dynload.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cdynload.c src/gcc/vhdl cp -p ../../src/ortho/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.* | 10.* | 11.* | 12.* | 13.* | 14.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from src"; \ echo "Need gcc version 4.9.x, 5.x to 14.x"; \ exit 1 ;; \ esac; \ cp -p ../../src/ortho/gcc/$gcc_ortho_lang \ src/gcc/vhdl/ortho-lang.c cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl mkdir src/gcc/vhdl/ghdldrv cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv cp -p scripts/gcc/Make-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 12.* | 13.* | 14.*) for f in src/gcc/vhdl/*.c; do mv $f ${f}c; done; \ sed -e 's/ortho-lang.c/ortho-lang.cc/' \ < ../../scripts/gcc/config-lang.in \ > src/gcc/vhdl/config-lang.in ;; \ esac make[2]: Leaving directory '/<>/builddir/gcc' make[2]: Entering directory '/<>/builddir/gcc' dh_testdir : # give information about the build process ------------------------ Build process variables ------------------------ Memory on this machine: MemTotal: 16355492 kB MemFree: 11039864 kB MemAvailable: 15803916 kB SwapCached: 0 kB SwapTotal: 4194300 kB SwapFree: 4194300 kB Number of parallel processes used for the build: 4 DEB_BUILD_OPTIONS: parallel=4 Package source: gcc-13 GCC version: Base Debian version: 13 Configured with: -v --with-pkgversion='Ubuntu 13.2.0-23ubuntu3' --with-bugurl='file:///usr/share/doc/gcc-13/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-13 --program-prefix=aarch64-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/libexec --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-libstdcxx-backtrace --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-multiarch --enable-fix-cortex-a53-843419 --disable-werror --enable-offload-targets=nvptx-none=/<>/builddir/gcc/debian/tmp-nvptx/usr/lib/ghdl/gcc --enable-offload-defaulted --without-cuda-driver --enable-checking=release --build=aarch64-linux-gnu --host=aarch64-linux-gnu --target=aarch64-linux-gnu Using shell /bin/bash -e Architecture: arm64 (GNU: aarch64-linux-gnu) CPPFLAGS: CFLAGS: LDFLAGS: BOOT_CFLAGS: DEBIAN_BUILDARCH: Install prefix: /usr/lib/ghdl/gcc Will not build the biarch compilers Will not build the C++ compiler: Will not build the ObjC compiler: Will not build the Obj-C++ compiler: Will not build the Fortran 95 compiler: Will not build the Ada compiler: Will not build the Go compiler: Will not build the D compiler: Will not build the Modula-2 compiler: Will build with offload compilers: nvptx-none. Will build without SSP support: Will not run the testsuite: skipped for GHDL build Will enable national language support. ----------------------------------------------------------------------------- rm -f stamps/04-configure-stamp stamps/05-build-stamp cat debian/README.Debian stamps/02-patch-stamp > debian/README.Debian.arm64 rm -rf /<>/builddir/gcc/build mkdir /<>/builddir/gcc/build : # some tools like gettext are built with a newer libstdc++ mkdir -p bin for i in msgfmt; do \ install -m755 debian/bin-wrapper.in bin/$i; \ done : # configure cd /<>/builddir/gcc/build \ && PATH=/<>/builddir/gcc/bin:/usr/lib/aarch64-linux-gnu/gcc/bin:$PATH \ CC="aarch64-linux-gnu-gcc-13" CXX="aarch64-linux-gnu-g++-13" CFLAGS_FOR_BUILD="-g -O2" CXXFLAGS_FOR_BUILD="-g -O2" LDFLAGS_FOR_TARGET="-Wl,-z,relro" \ \ \ ../src/configure -v --with-pkgversion='Ubuntu 13.2.0-23ubuntu3' --with-bugurl='file:///usr/share/doc/gcc-13/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-13 --program-prefix=aarch64-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/libexec --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-libstdcxx-backtrace --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-multiarch --enable-fix-cortex-a53-843419 --disable-werror --enable-offload-targets=nvptx-none=/<>/builddir/gcc/debian/tmp-nvptx/usr/lib/ghdl/gcc --enable-offload-defaulted --without-cuda-driver --enable-checking=release --build=aarch64-linux-gnu --host=aarch64-linux-gnu --target=aarch64-linux-gnu checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether ln works... yes checking whether ln -s works... yes checking for a sed that does not truncate output... /usr/bin/sed checking for gawk... gawk checking for libvtv support... yes checking for libphobos support... yes checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... none needed checking for aarch64-linux-gnu-gcc-13 option to accept ISO C99... none needed checking whether we are using the GNU C++ compiler... yes checking whether aarch64-linux-gnu-g++-13 accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for aarch64-linux-gnu-gnatbind... aarch64-linux-gnu-gnatbind checking for aarch64-linux-gnu-gnatmake... gnatmake -v -j4 -R -eS checking whether compiler driver understands Ada and is recent enough... yes checking for aarch64-linux-gnu-gdc... no checking for gdc... no checking whether the D compiler works... no checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2 checking whether aarch64-linux-gnu-g++-13 supports C++11 features by default... yes checking for objdir... .libs checking for the correct version of gmp.h... yes checking for the correct version of mpfr.h... yes checking for the correct version of mpc.h... yes checking for the correct version of the gmp/mpfr libraries... yes checking for the correct version of the mpc libraries... yes checking for isl 0.15 or later... yes The following languages will be built: c,lto,vhdl *** This configuration is not supported in the following subdirectories: zlib target-libquadmath gnattools gotools target-libada target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgomp target-libatomic target-libitm target-libsanitizer target-libssp target-libvtv (Any other directories should still work fine.) checking for default BUILD_CONFIG... checking for --enable-vtable-verify... no checking for bison... no checking for byacc... no checking for yacc... no checking for bison... no checking for gm4... no checking for gnum4... no checking for m4... m4 checking for flex... no checking for lex... no checking for flex... no checking for makeinfo... makeinfo checking for expect... no checking for runtest... no checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-as... aarch64-linux-gnu-as checking for aarch64-linux-gnu-dlltool... no checking for dlltool... no checking for aarch64-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for aarch64-linux-gnu-ld... aarch64-linux-gnu-ld checking for aarch64-linux-gnu-lipo... no checking for lipo... no checking for aarch64-linux-gnu-nm... aarch64-linux-gnu-nm checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking for aarch64-linux-gnu-windres... no checking for windres... no checking for aarch64-linux-gnu-windmc... no checking for windmc... no checking for aarch64-linux-gnu-objcopy... aarch64-linux-gnu-objcopy checking for aarch64-linux-gnu-objdump... aarch64-linux-gnu-objdump checking for aarch64-linux-gnu-otool... no checking for otool... no checking for aarch64-linux-gnu-readelf... aarch64-linux-gnu-readelf checking for aarch64-linux-gnu-cc... no checking for cc... cc checking for aarch64-linux-gnu-c++... no checking for c++... c++ checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc checking for aarch64-linux-gnu-gfortran... no checking for gfortran... no checking for aarch64-linux-gnu-gccgo... no checking for gccgo... no checking for aarch64-linux-gnu-gdc... no checking for gdc... no checking for aarch64-linux-gnu-gm2... no checking for gm2... no checking for ar... no checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for as... no checking for aarch64-linux-gnu-as... aarch64-linux-gnu-as checking for dlltool... no checking for aarch64-linux-gnu-dlltool... no checking for dlltool... no checking for dsymutil... no checking for aarch64-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for ld... no checking for aarch64-linux-gnu-ld... aarch64-linux-gnu-ld checking for lipo... no checking for aarch64-linux-gnu-lipo... no checking for lipo... no checking for nm... no checking for aarch64-linux-gnu-nm... aarch64-linux-gnu-nm checking for objcopy... no checking for aarch64-linux-gnu-objcopy... aarch64-linux-gnu-objcopy checking for objdump... no checking for aarch64-linux-gnu-objdump... aarch64-linux-gnu-objdump checking for otool... no checking for aarch64-linux-gnu-otool... no checking for otool... no checking for ranlib... no checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for readelf... no checking for aarch64-linux-gnu-readelf... aarch64-linux-gnu-readelf checking for strip... no checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking for windres... no checking for aarch64-linux-gnu-windres... no checking for windres... no checking for windmc... no checking for aarch64-linux-gnu-windmc... no checking for windmc... no checking where to find the target ar... host tool checking where to find the target as... host tool checking where to find the target cc... just compiled checking where to find the target c++... host tool checking where to find the target c++ for libstdc++... host tool checking where to find the target dlltool... host tool checking where to find the target dsymutil... host tool checking where to find the target gcc... just compiled checking where to find the target gfortran... host tool checking where to find the target gccgo... host tool checking where to find the target gdc... host tool checking where to find the target gm2... host tool checking where to find the target ld... host tool checking where to find the target lipo... host tool checking where to find the target nm... host tool checking where to find the target objcopy... host tool checking where to find the target objdump... host tool checking where to find the target otool... host tool checking where to find the target ranlib... host tool checking where to find the target readelf... host tool checking where to find the target strip... host tool checking where to find the target windres... host tool checking where to find the target windmc... host tool checking whether to enable maintainer-specific portions of Makefiles... no configure: creating ./config.status config.status: creating Makefile : # multilib builds without b-d on gcc-multilib (used in FLAGS_FOR_TARGET) if [ -d /usr/include/aarch64-linux-gnu/asm ]; then \ mkdir -p /<>/builddir/gcc/build/sys-include; \ ln -sf /usr/include/aarch64-linux-gnu/asm /<>/builddir/gcc/build/sys-include/asm; \ fi touch stamps/04-configure-stamp make[2]: Leaving directory '/<>/builddir/gcc' touch /<>/builddir/stamps/configure-gcc dh override_dh_auto_configure make[1]: Leaving directory '/<>' debian/rules override_dh_auto_build make[1]: Entering directory '/<>' dh_testdir ------------------------------------------------------------ Building with llvm backend ------------------------------------------------------------ /usr/bin/make -C /<>/builddir/llvm make[2]: Entering directory '/<>/builddir/llvm' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cstdio.o /<>/builddir/llvm/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cdynload.o /<>/builddir/llvm/../../src/grt/grt-cdynload.c make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-13" \ CXXFLAGS=" -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5" \ GNATMAKE="gnatmake -v -j4 -R -eS " all make[3]: Entering directory '/<>/builddir/llvm' g++-13 -c `llvm-config --cxxflags` -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp ../../src/ortho/llvm6/llvm-cbindings.cpp: In function ‘OGnode new_global_selected_element(OGnode, OFnodeBase*)’: ../../src/ortho/llvm6/llvm-cbindings.cpp:2670:25: warning: ‘Res’ may be used uninitialized [-Wmaybe-uninitialized] 2670 | return {Res, El->FType}; | ^ ../../src/ortho/llvm6/llvm-cbindings.cpp:2654:16: note: ‘Res’ was declared here 2654 | LLVMValueRef Res; | ^~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function ‘OLnode new_selected_element(OLnode*, OFnodeBase*)’: ../../src/ortho/llvm6/llvm-cbindings.cpp:2795:34: warning: ‘Res’ may be used uninitialized [-Wmaybe-uninitialized] 2795 | return { false, Res, El->FType }; | ^ ../../src/ortho/llvm6/llvm-cbindings.cpp:2774:16: note: ‘Res’ was declared here 2774 | LLVMValueRef Res; | ^~~ gnatmake -v -j4 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 \ `llvm-config --ldflags --libs --system-libs` -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... -> "ortho_code_main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_code_main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/ortho_front.adb "ortho_llvm.ali" being checked ... -> "ortho_llvm.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_llvm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_ident.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "bug.ali" being checked ... -> "bug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/dyn_tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout.ali" being checked ... -> "errorout.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/errorout-console.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flags.ali" being checked ... -> "flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/flags.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "hash.ali" being checked ... -> "hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "interning.ali" being checked ... -> "interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... -> "libraries.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/libraries.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_table.ali" being checked ... -> "name_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/name_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "options.ali" being checked ... -> "options.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "translation.ali" being checked ... -> "translation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/translation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types.ali" being checked ... -> "types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl.ali" being checked ... -> "vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-back_end.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-configuration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-std_package.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "simple_io.ali" being checked ... -> "simple_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/simple_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. aarch64-linux-gnu-gcc-13 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "files_map.ali" being checked ... -> "files_map.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/files_map.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... -> "filesystem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/filesystem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "str_table.ali" being checked ... -> "str_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/str_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... -> "elab.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_objtypes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "file_comments.ali" being checked ... -> "file_comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/file_comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl.ali" being checked ... -> "psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-dump_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "std_names.ali" being checked ... -> "std_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/std_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-lists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-scanner.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "logging.ali" being checked ... -> "logging.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/logging.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "tables.ali" being checked ... -> "tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_specs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-canon.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-evaluation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_walk.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ortho/llvm6/ortho_nodes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans.ali" being checked ... -> "trans.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap1.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap12.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap3.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap4.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap7.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-coverage.ali" being checked ... -> "trans-coverage.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-coverage.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-helpers2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-rtis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans_decls.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_inst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_gc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-post_sems.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-elocations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_assocs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-xrefs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-types.ali" being checked ... -> "psl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt.ali" being checked ... -> "grt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "utils_io.ali" being checked ... -> "utils_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/utils_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nodes_meta.adb "mutils.ali" being checked ... -> "mutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/mutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types_utils.ali" being checked ... -> "types_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/types_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "areapools.ali" being checked ... -> "areapools.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/areapools.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-memtype.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-types.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "lists.ali" being checked ... -> "lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/lists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-build.ali" being checked ... -> "psl-build.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-build.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nfas-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-rewrites.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-canon_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-flists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-parse_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap6.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth.ali" being checked ... -> "synth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap5.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap9.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap8.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-foreach_non_composite.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans-chap14.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-math_real.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-numeric.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_misc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-std_logic_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-ieee-vital_timing.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-std_env.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-elocations_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-subsets.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-sensitivity_checks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-priorities.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-cse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-disp_nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-optimize.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/psl/psl-qm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flists.ali" being checked ... -> "flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/flists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists.ali" being checked ... -> "netlists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-numeric_std.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-source.ali" being checked ... -> "synth-source.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-source.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/translate/trans_analyzes.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-folds.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-locations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-builders.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-context.ali" being checked ... -> "synth-context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-context.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_heap.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_annotations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-ieee-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-gates.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_aggr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_oper.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-files_operations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_prot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-flags.ads "grt-table.ali" being checked ... -> "grt-table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_foreign.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-vhdl_static_proc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-environment-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_values-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-concats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-dump.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-gates_ports.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-inference.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "debuggers.ali" being checked ... -> "debuggers.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/debuggers.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/elab-vhdl_context-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-dynload.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. aarch64-linux-gnu-gcc-13 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata grt-readline.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-internings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-memories.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-iterators.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-readline_none.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synthesis.ali" being checked ... -> "synthesis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synthesis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-expands.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-butils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_exprs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_sources.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog.ali" being checked ... -> "verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-allocates.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-bignums.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-elaborate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-nutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_instances.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-storages.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-executions.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-standard.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-verilog_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-abi.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-simulation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_arrays.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_classes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_queues.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sv_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-resolve_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-sem_upwards.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_maps.ali" being checked ... -> "name_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/name_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-scans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-bn_tables.ads "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-macros.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation aarch64-linux-gnu-gnatbind-13 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali aarch64-linux-gnu-gnatlink-13 ortho_code_main.ali -o ghdl1-llvm -g -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 -L/usr/lib/llvm-18/lib -lLLVM-18 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -R make[3]: Leaving directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... -> "ghdl_llvm.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdl_llvm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "default_paths.ali" being checked ... -> "default_paths.ali" missing. aarch64-linux-gnu-gcc-13 -c -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata default_paths.ads "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdldrv.adb "ghdllib.ali" being checked ... -> "ghdllib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdllib.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdllocal.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlmain.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlprint.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. aarch64-linux-gnu-gcc-13 -c -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata ghdlsynth_maybe.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlverilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlvpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlxml.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... "types.ali" being checked ... "version.ali" being checked ... "bug.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "simple_io.ali" being checked ... "options.ali" being checked ... "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlsynth.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "files_map.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/ghdldrv/ghdlcomp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... "name_table.ali" being checked ... "std_names.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-find_top.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/verilog/verilog-vhdl_export.adb "verilog-vpi.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-types.ali" being checked ... "flags.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "str_table.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "dyn_tables.ali" being checked ... "tables.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/vhdl/vhdl-formatters.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "elab-vhdl_context.ali" being checked ... "logging.ali" being checked ... "elab-debugger.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-disp_dot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/netlists-rename.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -I- /<>/src/synth/synth-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "mutils.ali" being checked ... "utils_io.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "grt-severity.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-dynload.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "grt-c.ali" being checked ... "verilog-debugger.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "grt-table.ali" being checked ... "grt-readline.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation aarch64-linux-gnu-gnatbind-13 -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/ghdldrv -static -x ghdl_llvm.ali aarch64-linux-gnu-gnatlink-13 ghdl_llvm.ali -g -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o -R gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o jumps.o /<>/builddir/llvm/../../src/grt/config/jumps.c /<>/builddir/llvm/../../src/grt/config/jumps.c:136:1: warning: ‘grt_overflow_handler’ defined but not used [-Wunused-function] 136 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o times.o /<>/builddir/llvm/../../src/grt/config/times.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cgnatrts.o /<>/builddir/llvm/../../src/grt/grt-cgnatrts.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-no_sundials_c.o /<>/builddir/llvm/../../src/grt/grt-no_sundials_c.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cvpi.o /<>/builddir/llvm/../../src/grt/grt-cvpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cvhpi.o /<>/builddir/llvm/../../src/grt/grt-cvhpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o fstapi.o /<>/builddir/llvm/../../src/grt/fst/fstapi.c -I/<>/builddir/llvm/../../src/grt/fst gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o lz4.o /<>/builddir/llvm/../../src/grt/fst/lz4.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o fastlz.o /<>/builddir/llvm/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/ghdl_main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada ghdl_main.adb:45:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:45:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:45:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors.adb "grt-heap.ali" being checked ... -> "grt-heap.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-heap.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-modules.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-no_analog_solver.ali" being checked ... -> "grt-no_analog_solver.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-no_analog_solver.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-options.ali" being checked ... -> "grt-options.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_binding.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_rti.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcd.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcdz.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vital_annotate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-waves.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-change_generics.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_lib.ali" being checked ... -> "grt-files_lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-hooks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-images.ali" being checked ... -> "grt-images.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-images.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-names.ali" being checked ... -> "grt-names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-processes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-shadow_ieee.adb "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-values.ali" being checked ... -> "grt-values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio.adb "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio-vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/builddir/llvm/grt-backtraces-impl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors_exec.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-file.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_addr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst_api.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-design.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-zlib.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-sdf.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-callbacks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/builddir/llvm/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-ghw.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-analog_solver.ali" being checked ... -> "grt-analog_solver.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-analog_solver.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-threads.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stack2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-jit.ali" being checked ... -> "grt-backtraces-jit.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces-jit.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/llvm/../../src/grt -I.. -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-unithread.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. aarch64-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. aarch64-linux-gnu-gcc-13 -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I/<>/builddir/llvm/../../src/grt/ -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /<>/builddir/llvm/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst echo "# link options for executables" > lib/ghdl/llvm/vhdl/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/vhdl/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/llvm/vhdl/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/vhdl/grt-shared.lst; done cp /<>/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/vhdl/grt.ver make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm/vhdl" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/<>/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/<>/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/<>/builddir/llvm' sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf cd lib/ghdl/llvm/vhdl/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/vhdl/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/vhdl/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf cd lib/ghdl/llvm/vhdl/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf cd lib/ghdl/llvm/vhdl/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf cd lib/ghdl/llvm/vhdl/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/llvm/vhdl/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/vhdl/src/std/env-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf cd lib/ghdl/llvm/vhdl/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/vhdl/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/vhdl/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf cd lib/ghdl/llvm/vhdl/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /<>/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/vhdl/src/ieee2008/LICENSE cd lib/ghdl/llvm/vhdl/std/v87; /<>/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=87 cd lib/ghdl/llvm/vhdl/std/v93; /<>/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=93 cd lib/ghdl/llvm/vhdl/std/v08; /<>/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/builddir/llvm/ghdl1-llvm --std=08 make[3]: Leaving directory '/<>/builddir/llvm' gcc-13 -c -g -o vpi_thunk.o /<>/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 gcc-13 -c -g -o vhpi_thunk.o /<>/builddir/llvm/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 mkdir -p lib/ghdl/llvm gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/ghdl/llvm/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o pic/grt-cstdio.o /<>/builddir/llvm/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o pic/grt-cdynload.o /<>/builddir/llvm/../../src/grt/grt-cdynload.c gnatmake -v -j4 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-4_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "lib/libghdl-4_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/libghdl.o /<>/src/vhdl/libghdl/libghdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout.ali" being checked ... -> "errorout.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/errorout.o /<>/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/errorout-memory.o /<>/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/files_map.o /<>/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/files_map-editor.o /<>/src/files_map-editor.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlcomp.o /<>/src/ghdldrv/ghdlcomp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdllocal.o /<>/src/ghdldrv/ghdllocal.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "options.ali" being checked ... -> "options.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/options.o /<>/src/options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl.ali" being checked ... -> "vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl.o /<>/src/vhdl/vhdl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-formatters.o /<>/src/vhdl/vhdl-formatters.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlsynth_maybe.o /<>/builddir/llvm/ghdlsynth_maybe.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types.ali" being checked ... -> "types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/types.o /<>/src/types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes.o /<>/src/vhdl/vhdl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/dyn_tables.o /<>/src/dyn_tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "tables.ali" being checked ... -> "tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/tables.o /<>/src/tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_table.ali" being checked ... -> "name_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/name_table.o /<>/src/name_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "str_table.ali" being checked ... -> "str_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/str_table.o /<>/src/str_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "logging.ali" being checked ... -> "logging.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/logging.o /<>/src/logging.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... -> "elab.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab.o /<>/src/synth/elab.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_objtypes.o /<>/src/synth/elab-vhdl_objtypes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "file_comments.ali" being checked ... -> "file_comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/file_comments.o /<>/src/file_comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flags.ali" being checked ... -> "flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/flags.o /<>/src/flags.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... -> "libraries.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/libraries.o /<>/src/libraries.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl.ali" being checked ... -> "psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl.o /<>/src/psl/psl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-dump_tree.o /<>/src/psl/psl-dump_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nodes.o /<>/src/psl/psl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "simple_io.ali" being checked ... -> "simple_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/simple_io.o /<>/src/simple_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "std_names.ali" being checked ... -> "std_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/std_names.o /<>/src/std_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-back_end.o /<>/src/vhdl/vhdl-back_end.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-disp_tree.o /<>/src/vhdl/vhdl-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-errors.o /<>/src/vhdl/vhdl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-lists.o /<>/src/vhdl/vhdl-lists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-parse.o /<>/src/vhdl/vhdl-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-scanner.o /<>/src/vhdl/vhdl-scanner.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlmain.o /<>/src/ghdldrv/ghdlmain.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-configuration.o /<>/src/vhdl/vhdl-configuration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem.o /<>/src/vhdl/vhdl-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_lib.o /<>/src/vhdl/vhdl-sem_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-std_package.o /<>/src/vhdl/vhdl-std_package.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-utils.o /<>/src/vhdl/vhdl-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlsynth.o /<>/src/ghdldrv/ghdlsynth.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... -> "filesystem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/filesystem.o /<>/src/filesystem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-types.o /<>/src/vhdl/vhdl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt.ali" being checked ... -> "grt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt.o /<>/src/grt/grt.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-vstrings.o /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "utils_io.ali" being checked ... -> "utils_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/utils_io.o /<>/src/utils_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-prints.o /<>/src/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-tokens.o /<>/src/vhdl/vhdl-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-algos.o /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "default_paths.ali" being checked ... -> "default_paths.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/default_paths.o /<>/builddir/llvm/default_paths.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/version.o /<>/builddir/llvm/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-errors.o /<>/src/psl/psl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nodes_meta.o /<>/src/psl/psl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-types.ali" being checked ... -> "psl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-types.o /<>/src/psl/psl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "mutils.ali" being checked ... -> "mutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/mutils.o /<>/src/mutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types_utils.ali" being checked ... -> "types_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/types_utils.o /<>/src/synth/types_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "areapools.ali" being checked ... -> "areapools.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/areapools.o /<>/src/areapools.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-memtype.o /<>/src/synth/elab-memtype.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-types.o /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-hash.o /<>/src/psl/psl-hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_specs.o /<>/src/vhdl/vhdl-sem_specs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_meta.o /<>/src/vhdl/vhdl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "lists.ali" being checked ... -> "lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/lists.o /<>/src/lists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "bug.ali" being checked ... -> "bug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/bug.o /<>/src/bug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/errorout-console.o /<>/src/errorout-console.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-fcvt.o /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-canon.o /<>/src/vhdl/vhdl-canon.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-evaluation.o /<>/src/vhdl/vhdl-evaluation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_walk.o /<>/src/vhdl/vhdl-nodes_walk.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_scopes.o /<>/src/vhdl/vhdl-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_gc.o /<>/src/vhdl/vhdl-nodes_gc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-post_sems.o /<>/src/vhdl/vhdl-post_sems.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-elocations.o /<>/src/vhdl/vhdl-elocations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee.o /<>/src/vhdl/vhdl-ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /<>/src/vhdl/vhdl-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_utils.o /<>/src/vhdl/vhdl-nodes_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_assocs.o /<>/src/vhdl/vhdl-sem_assocs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_decls.o /<>/src/vhdl/vhdl-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_expr.o /<>/src/vhdl/vhdl-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_inst.o /<>/src/vhdl/vhdl-sem_inst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_names.o /<>/src/vhdl/vhdl-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_psl.o /<>/src/vhdl/vhdl-sem_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_stmts.o /<>/src/vhdl/vhdl-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_types.o /<>/src/vhdl/vhdl-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sem_utils.o /<>/src/vhdl/vhdl-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-xrefs.o /<>/src/vhdl/vhdl-xrefs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-nodes_priv.o /<>/src/vhdl/vhdl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-flists.o /<>/src/vhdl/vhdl-flists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-c.o /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-debugger.o /<>/src/synth/elab-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_annotations.o /<>/src/synth/elab-vhdl_annotations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_context.o /<>/src/synth/elab-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_errors.o /<>/src/synth/elab-vhdl_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_insts.o /<>/src/synth/elab-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/ghdlverilog.o /<>/src/ghdldrv/ghdlverilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists.ali" being checked ... -> "netlists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists.o /<>/src/synth/netlists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-disp_dot.o /<>/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-disp_verilog.o /<>/src/synth/netlists-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-disp_vhdl.o /<>/src/synth/netlists-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-dump.o /<>/src/synth/netlists-dump.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-errors.o /<>/src/synth/netlists-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-inference.o /<>/src/synth/netlists-inference.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-rename.o /<>/src/synth/netlists-rename.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth.ali" being checked ... -> "synth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth.o /<>/src/synth/synth.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-disp_vhdl.o /<>/src/synth/synth-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-flags.o /<>/src/synth/synth-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_context.o /<>/src/synth/synth-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_foreign.o /<>/src/synth/synth-vhdl_foreign.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synthesis.ali" being checked ... -> "synthesis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synthesis.o /<>/src/synth/synthesis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-comments.o /<>/src/vhdl/vhdl-comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-parse_psl.o /<>/src/vhdl/vhdl-parse_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nodes_priv.o /<>/src/psl/psl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-build.ali" being checked ... -> "psl-build.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-build.o /<>/src/psl/psl-build.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nfas.o /<>/src/psl/psl-nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-nfas-utils.o /<>/src/psl/psl-nfas-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-rewrites.o /<>/src/psl/psl-rewrites.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-canon_psl.o /<>/src/vhdl/vhdl-canon_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-prints.o /<>/src/psl/psl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-priorities.o /<>/src/psl/psl-priorities.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-math_real.o /<>/src/vhdl/vhdl-ieee-math_real.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-numeric.o /<>/src/vhdl/vhdl-ieee-numeric.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-numeric_std_unsigned.o /<>/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_arith.o /<>/src/vhdl/vhdl-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_misc.o /<>/src/vhdl/vhdl-ieee-std_logic_misc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-std_logic_unsigned.o /<>/src/vhdl/vhdl-ieee-std_logic_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-ieee-vital_timing.o /<>/src/vhdl/vhdl-ieee-vital_timing.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-std_env.o /<>/src/vhdl/vhdl-std_env.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-elocations_meta.o /<>/src/vhdl/vhdl-elocations_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_types.o /<>/src/synth/elab-vhdl_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-to_strings.o /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-vhdl_types.o /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_eval.o /<>/src/synth/synth-vhdl_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-subsets.o /<>/src/psl/psl-subsets.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/vhdl-sensitivity_checks.o /<>/src/vhdl/vhdl-sensitivity_checks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flists.ali" being checked ... -> "flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/flists.o /<>/src/flists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "debuggers.ali" being checked ... -> "debuggers.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/debuggers.o /<>/src/debuggers.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_context-debug.o /<>/src/synth/elab-vhdl_context-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_debug.o /<>/src/synth/elab-vhdl_debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_insts.o /<>/src/synth/synth-verilog_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_insts.o /<>/src/synth/synth-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog.ali" being checked ... -> "verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog.o /<>/src/verilog/verilog.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-elaborate.o /<>/src/verilog/verilog-elaborate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-errors.o /<>/src/verilog/verilog-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-find_top.o /<>/src/verilog/verilog-find_top.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-flags.o /<>/src/verilog/verilog-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-nodes.o /<>/src/verilog/verilog-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-nutils.o /<>/src/verilog/verilog-nutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-parse.o /<>/src/verilog/verilog-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-scans.o /<>/src/verilog/verilog-scans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem.o /<>/src/verilog/verilog-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_instances.o /<>/src/verilog/verilog-sem_instances.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_scopes.o /<>/src/verilog/verilog-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_types.o /<>/src/verilog/verilog-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-vhdl_export.o /<>/src/verilog/verilog-vhdl_export.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-vpi.o /<>/src/verilog/verilog-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_utils.o /<>/src/synth/elab-vhdl_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_values.o /<>/src/synth/elab-vhdl_values.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-iterators.o /<>/src/synth/netlists-iterators.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-utils.o /<>/src/synth/netlists-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_decls.o /<>/src/synth/elab-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_expr.o /<>/src/synth/elab-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_files.o /<>/src/synth/elab-vhdl_files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_stmts.o /<>/src/synth/elab-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_expr.o /<>/src/synth/synth-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_stmts.o /<>/src/synth/synth-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-gates.o /<>/src/synth/netlists-gates.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-locations.o /<>/src/synth/netlists-locations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-severity.o /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-folds.o /<>/src/synth/netlists-folds.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-gates_ports.o /<>/src/synth/netlists-gates_ports.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-internings.o /<>/src/synth/netlists-internings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-memories.o /<>/src/synth/netlists-memories.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-errors.o /<>/src/synth/synth-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-builders.o /<>/src/synth/netlists-builders.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-context.ali" being checked ... -> "synth-context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-context.o /<>/src/synth/synth-context.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_environment.o /<>/src/synth/synth-vhdl_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_values-debug.o /<>/src/synth/elab-vhdl_values-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-cleanup.o /<>/src/synth/netlists-cleanup.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-expands.o /<>/src/synth/netlists-expands.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/dyn_interning.o /<>/src/dyn_interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/dyn_maps.o /<>/src/dyn_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-dynload.o /<>/src/grt/grt-dynload.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "hash.ali" being checked ... -> "hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/hash.o /<>/src/hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "interning.ali" being checked ... -> "interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/interning.o /<>/src/interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-cse.o /<>/src/psl/psl-cse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-disp_nfas.o /<>/src/psl/psl-disp_nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-optimize.o /<>/src/psl/psl-optimize.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/psl-qm.o /<>/src/psl/psl-qm.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-strings.o /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-readline.o /<>/builddir/llvm/grt-readline.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_context.o /<>/src/synth/synth-verilog_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_elaboration.o /<>/src/synth/synth-verilog_elaboration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_environment.o /<>/src/synth/synth-verilog_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_exprs.o /<>/src/synth/synth-verilog_exprs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_sources.o /<>/src/synth/synth-verilog_sources.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_stmts.o /<>/src/synth/synth-verilog_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_values.o /<>/src/synth/synth-verilog_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-allocates.o /<>/src/verilog/verilog-allocates.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-bignums.o /<>/src/verilog/verilog-bignums.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-disp_verilog.o /<>/src/verilog/verilog-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_names.o /<>/src/verilog/verilog-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-storages.o /<>/src/verilog/verilog-storages.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_heap.o /<>/src/synth/elab-vhdl_heap.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-tokens.o /<>/src/verilog/verilog-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-concats.o /<>/src/synth/netlists-concats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-source.ali" being checked ... -> "synth-source.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-source.o /<>/src/synth/synth-source.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_decls.o /<>/src/synth/synth-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_oper.o /<>/src/synth/synth-vhdl_oper.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-arith.o /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee.o /<>/src/synth/synth-ieee.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-numeric_std.o /<>/src/synth/synth-ieee-numeric_std.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-std_logic_1164.o /<>/src/synth/synth-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-std_logic_arith.o /<>/src/synth/synth-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-resolve_names.o /<>/src/verilog/verilog-resolve_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_decls.o /<>/src/verilog/verilog-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_eval.o /<>/src/verilog/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_expr.o /<>/src/verilog/verilog-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_stmts.o /<>/src/verilog/verilog-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_upwards.o /<>/src/verilog/verilog-sem_upwards.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sem_utils.o /<>/src/verilog/verilog-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-standard.o /<>/src/verilog/verilog-standard.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-types.o /<>/src/verilog/verilog-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-nodes_meta.o /<>/src/verilog/verilog-nodes_meta.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-astdio.o /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-stdio.o /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_maps.ali" being checked ... -> "name_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/name_maps.o /<>/src/name_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-bn_tables.o /<>/src/verilog/verilog-bn_tables.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-macros.o /<>/src/verilog/verilog-macros.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-files.o /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-files_operations.o /<>/src/grt/grt-files_operations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-executions.o /<>/src/verilog/verilog-executions.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-simulation.o /<>/src/verilog/verilog-simulation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_strings.o /<>/src/verilog/verilog-sv_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-rstrings.o /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_aggr.o /<>/src/synth/synth-vhdl_aggr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/netlists-butils.o /<>/src/synth/netlists-butils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/elab-vhdl_prot.o /<>/src/synth/elab-vhdl_prot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-vhdl_static_proc.o /<>/src/synth/synth-vhdl_static_proc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-readline_none.o /<>/src/grt/grt-readline_none.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-environment.o /<>/src/synth/synth-environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-environment-debug.o /<>/src/synth/synth-environment-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-verilog_errors.o /<>/src/synth/synth-verilog_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-abi.o /<>/src/verilog/verilog-abi.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_arrays.o /<>/src/verilog/verilog-sv_arrays.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_classes.o /<>/src/verilog/verilog-sv_classes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_maps.o /<>/src/verilog/verilog-sv_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-sv_queues.o /<>/src/verilog/verilog-sv_queues.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-disp_tree.o /<>/src/verilog/verilog-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/synth-ieee-utils.o /<>/src/synth/synth-ieee-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/grt-table.o /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/llvm/pic/verilog-debugger.o /<>/src/verilog/verilog-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation aarch64-linux-gnu-gnatbind-13 -aI. -aO/<>/builddir/llvm/pic -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<>/builddir/llvm/pic/libghdl.ali aarch64-linux-gnu-gnatlink-13 /<>/builddir/llvm/pic/libghdl.ali -shared-libgcc -o lib/libghdl-4_0_0.so -g -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o pic/grt-cdynload.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-13 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 gcc-13 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-13 -fPIC -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/<>/builddir/llvm' touch /<>/builddir/stamps/build-llvm dh_testdir ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ /usr/bin/make -j4 -C /<>/builddir/gcc/build make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' mkdir -p -- ./fixincludes mkdir -p -- ./libiberty mkdir -p -- ./intl mkdir -p -- ./lto-plugin Configuring in ./fixincludes Configuring in ./libiberty Configuring in ./intl Configuring in ./lto-plugin configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 configure: creating cache ./config.cache configure: creating cache ./config.cache checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking build system type... configure: creating cache ./config.cache aarch64-unknown-linux-gnu checking host system type... checking build system type... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether the C compiler works... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking whether the C compiler works... checking for a thread-safe mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking whether /usr/bin/make supports nested variables... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for perl... perl checking build system type... checking whether to enable maintainer-specific portions of Makefiles... no aarch64-unknown-linux-gnu checking for style of include used by /usr/bin/make... checking host system type... GNU checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 yes checking for C compiler default output file name... a.out checking for suffix of executables... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether we are cross compiling... checking whether the C compiler works... checking whether we are cross compiling... no checking for suffix of object files... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether the C compiler works... no checking for suffix of object files... o checking whether we are using the GNU C compiler... checking whether we are cross compiling... o checking whether we are using the GNU C compiler... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... no checking for suffix of object files... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... checking whether we are cross compiling... o checking whether we are using the GNU C compiler... none needed checking how to run the C preprocessor... none needed checking how to run the C preprocessor... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... no checking for suffix of object files... aarch64-linux-gnu-gcc-13 -E yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... o checking whether we are using the GNU C compiler... aarch64-linux-gnu-gcc-13 -E yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... none needed checking whether aarch64-linux-gnu-gcc-13 understands -c and -o together... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... yes checking dependency style of aarch64-linux-gnu-gcc-13... none needed checking how to run the C preprocessor... gcc3 checking how to run the C preprocessor... aarch64-linux-gnu-gcc-13 -E aarch64-linux-gnu-gcc-13 -E yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking for sys/types.h... yes checking for sys/types.h... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/stat.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for stdlib.h... yes checking for string.h... yes yes checking for sys/types.h... checking for string.h... yes checking for sys/types.h... yes yes checking for memory.h... yes checking for sys/stat.h... checking for memory.h... yes checking for sys/stat.h... yes yes checking for strings.h... checking for stdlib.h... yes checking for strings.h... yes checking for stdlib.h... yes yes checking for inttypes.h... checking for string.h... yes yes checking for inttypes.h... checking for string.h... yes yes checking for stdint.h... checking for memory.h... yes yes checking for stdint.h... checking for memory.h... yes yes checking for unistd.h... checking for strings.h... yes yes checking for strings.h... checking for unistd.h... yes yes checking for inttypes.h... checking minix/config.h usability... yes checking for inttypes.h... yes checking minix/config.h usability... yes no checking minix/config.h presence... checking for stdint.h... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for stdint.h... no checking minix/config.h presence... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for unistd.h... yes checking for unistd.h... yes checking whether /usr/bin/make sets $(MAKE)... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether NLS is requested... yes checking for msgfmt... /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt checking for xgettext... yes /usr/bin/xgettext yes checking for a sed that does not truncate output... checking minix/config.h usability... /usr/bin/sed checking for msgmerge... checking whether aarch64-linux-gnu-gcc-13 supports -W... /usr/bin/msgmerge yes checking minix/config.h usability... checking build system type... yes aarch64-unknown-linux-gnu checking host system type... checking whether aarch64-linux-gnu-gcc-13 supports -Wall... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for library containing strerror... no checking minix/config.h presence... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no checking minix/config.h presence... none required checking for an ANSI C-conforming const... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes checking for inline... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... inline checking for off_t... yes checking for aarch64-linux-gnu-gcc... (cached) aarch64-linux-gnu-gcc-13 no checking whether aarch64-linux-gnu-gcc-13 supports -W... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... checking whether we are using the GNU C compiler... (cached) yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... (cached) yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... (cached) none needed checking whether aarch64-linux-gnu-gcc-13 understands -c and -o together... (cached) yes checking dependency style of aarch64-linux-gnu-gcc-13... (cached) gcc3 checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wall... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-format-attribute... no checking whether aarch64-linux-gnu-gcc-13 supports -Wall... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking whether aarch64-linux-gnu-gcc-13 supports -Woverlength-strings... yes checking for -static-libgcc... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wc++-compat... yes checking for size_t... yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic -Wlong-long... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes checking for CET support... no checking whether symbol versioning is supported... yes checking for ANSI C header files... (cached) yes checking stddef.h usability... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wshadow=local... gnu checking pthread.h usability... yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic ... yes checking stddef.h presence... yes checking for stddef.h... yes yes checking whether aarch64-linux-gnu-gcc-13 and cc understand -c and -o together... yes checking for working alloca.h... checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for unistd.h... (cached) yes yes checking pthread.h presence... checking fcntl.h usability... yes checking for pthread.h... yes checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... yes checking for alloca... /usr/bin/grep -F checking for ld used by aarch64-linux-gnu-gcc-13... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... aarch64-linux-gnu-nm checking the name lister (aarch64-linux-gnu-nm) interface... yes checking fcntl.h presence... yes checking for stdlib.h... (cached) yes yes checking for fcntl.h... yes checking for unistd.h... (cached) yes BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... checking sys/file.h usability... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes yes checking for an ANSI C-conforming const... checking for sys/param.h... checking for aarch64-linux-gnu-ld option to reload object files... -r checking for aarch64-linux-gnu-objdump... aarch64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking command to parse aarch64-linux-gnu-nm output from aarch64-linux-gnu-gcc-13 object... yes checking for inline... inline checking whether byte ordering is bigendian... yes checking sys/file.h presence... yes checking for getpagesize... yes checking for sys/file.h... yes checking for sys/stat.h... (cached) yes checking for clearerr_unlocked... ok checking for dlfcn.h... yes checking for working mmap... yes checking for feof_unlocked... no checking for a BSD-compatible install... yes /usr/bin/install -c checking for CET support... no checking target system type... checking for objdir... .libs aarch64-unknown-linux-gnu checking for sys/file.h... yes checking for ferror_unlocked... yes checking for sys/param.h... yes checking whether we are using the GNU C Library 2.1 or newer... yes yes checking whether integer division by zero raises SIGFPE... checking for limits.h... checking if aarch64-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... yes yes checking for fflush_unlocked... checking for stdlib.h... (cached) yes no checking for aarch64-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if aarch64-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... checking for malloc.h... yes yes checking if aarch64-linux-gnu-gcc-13 static flag -static works... yes checking for string.h... (cached) yes no checking for inttypes.h... checking for fgetc_unlocked... checking for unistd.h... (cached) yes checking for strings.h... (cached) yes checking for sys/time.h... yes checking for stdint.h... yes yes checking for time.h... checking for fgets_unlocked... yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... yes checking for unsigned long long... yes checking for sys/resource.h... yes yes yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the aarch64-linux-gnu-gcc-13 linker (aarch64-linux-gnu-ld) supports shared libraries... checking for fileno_unlocked... checking for sys/stat.h... (cached) yes yes checking for inttypes.h... yes checking whether -lc should be explicitly linked in... checking for sys/mman.h... yes checking for fcntl.h... yes checking whether the inttypes.h PRIxNN macros are broken... no checking dynamic linker characteristics... yes yes checking for fprintf_unlocked... checking for alloca.h... no checking for ld used by GCC... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... yes checking for sys/pstat.h... done checking argz.h usability... no no GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... checking for sys/sysmp.h... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for int64_t... checking for fputc_unlocked... no checking for sys/sysinfo.h... yes yes checking argz.h presence... checking for machine/hal_sysinfo.h... yes checking for argz.h... yes yes checking for fputs_unlocked... checking limits.h usability... no checking for sys/table.h... no checking for sys/sysctl.h... yes yes checking for uint64_t... yes checking limits.h presence... checking for fread_unlocked... no checking for sys/systemcfg.h... yes checking for limits.h... yes checking locale.h usability... no checking for stdint.h... (cached) yes checking for stdio_ext.h... yes checking for fwrite_unlocked... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for process.h... yes checking locale.h presence... no yes checking for locale.h... yes yes yes checking for sys/prctl.h... checking nl_types.h usability... checking for getchar_unlocked... yes configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status checking for sys/wait.h that is POSIX.1 compatible... yes yes checking nl_types.h presence... checking for getc_unlocked... yes checking for nl_types.h... yes yes checking whether time.h and sys/time.h may both be included... checking malloc.h usability... yes checking whether errno must be declared... yes checking for putchar_unlocked... yes checking malloc.h presence... no checking size of int... yes checking for malloc.h... yes checking stddef.h usability... yes checking for putc_unlocked... yes checking stddef.h presence... 4 checking size of long... yes yes checking for stddef.h... yes checking whether abort is declared... checking for stdlib.h... (cached) yes checking for string.h... (cached) yes checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for feof_unlocked... yes checking whether asprintf is declared... 8 checking size of size_t... yes checking for fgets_unlocked... yes checking whether basename is declared... yes checking for getc_unlocked... 8 checking for long long... yes yes checking for getcwd... checking whether errno is declared... yes no checking for getegid... checking whether vasprintf is declared... yes checking size of long long... yes checking for geteuid... yes checking whether memmem is declared... config.status: creating Makefile yes 8 checking for a 64-bit type... checking for getgid... config.status: creating config.h config.status: executing depfiles commands yes uint64_t checking for intptr_t... checking whether clearerr_unlocked is declared... config.status: executing libtool commands yes checking for getuid... yes checking whether feof_unlocked is declared... yes mkdir -p -- build-aarch64-linux-gnu/libiberty Configuring in build-aarch64-linux-gnu/libiberty checking for mempcpy... yes checking for uintptr_t... yes yes checking whether ferror_unlocked is declared... checking for munmap... yes yes checking for putenv... checking whether fflush_unlocked is declared... yes checking for ssize_t... yes checking for setenv... yes checking whether fgetc_unlocked is declared... yes checking for setlocale... yes checking whether fgets_unlocked is declared... yes checking for pid_t... yes checking for stpcpy... configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 yes checking whether fileno_unlocked is declared... yes checking for strcasecmp... yes checking for library containing strerror... yes checking whether fprintf_unlocked is declared... checking for perl... perl yes checking build system type... aarch64-unknown-linux-gnu checking host system type... checking for strdup... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 none required checking for asprintf... no checking whether fputc_unlocked is declared... yes checking for strtoul... yes checking for atexit... checking whether the C compiler works... yes checking whether fputs_unlocked is declared... yes checking for tsearch... yes checking for basename... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes yes checking whether fread_unlocked is declared... checking for __argz_count... yes checking whether we are cross compiling... checking for bcmp... yes yes checking for __argz_stringify... no checking for suffix of object files... checking whether fwrite_unlocked is declared... yes checking for bcopy... o checking whether we are using the GNU C compiler... yes checking for __argz_next... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking whether getchar_unlocked is declared... yes yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... checking for bsearch... yes checking for __fsetlocking... yes none needed checking how to run the C preprocessor... checking whether getc_unlocked is declared... yes checking for bzero... yes checking for iconv... aarch64-linux-gnu-gcc-13 -E yes checking whether putchar_unlocked is declared... yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... checking for calloc... /usr/bin/grep -E checking for ANSI C header files... yes checking for iconv declaration... yes extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking whether putc_unlocked is declared... checking for nl_langinfo and CODESET... yes checking for clock... yes checking for LC_MESSAGES... yes checking for an ANSI C-conforming const... yes checking for ffs... yes checking sys/mman.h usability... yes checking for bison... no checking whether NLS is requested... yes checking whether included gettext is requested... no checking for GNU gettext in libc... yes checking for sys/types.h... yes checking for getcwd... yes yes checking sys/mman.h presence... yes checking whether to use NLS... yes checking where the gettext function comes from... libc checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking for sys/stat.h... yes checking for sys/mman.h... yes checking for mmap... yes configure: updating cache ./config.cache configure: creating ./config.status checking for getpagesize... yes checking for stdlib.h... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes yes checking for gettimeofday... checking for string.h... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no configure: updating cache ./config.cache yes configure: creating ./config.status yes checking for memory.h... config.status: creating Makefile checking for index... config.status: creating config.intl config.status: creating config.h config.status: executing default-1 commands yes checking for strings.h... yes checking for insque... mkdir -p -- build-aarch64-linux-gnu/fixincludes Configuring in build-aarch64-linux-gnu/fixincludes yes config.status: creating Makefile checking for inttypes.h... config.status: creating mkheaders.almost yes config.status: creating config.h checking for memchr... yes checking for stdint.h... mkdir -p -- build-aarch64-linux-gnu/libcpp Configuring in build-aarch64-linux-gnu/libcpp yes checking for memcmp... yes checking for unistd.h... yes yes checking for memcpy... checking minix/config.h usability... no checking minix/config.h presence... yes checking for memmem... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for memmove... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... configure: creating cache ./config.cache checking build system type... no aarch64-unknown-linux-gnu checking whether aarch64-linux-gnu-gcc-13 supports -W... checking host system type... yes aarch64-unknown-linux-gnu checking target system type... checking for mempcpy... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 yes checking whether aarch64-linux-gnu-gcc-13 supports -Wall... checking whether the C compiler works... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking for memset... configure: creating cache ./config.cache yes yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether aarch64-linux-gnu-gcc-13 supports -Wc++-compat... checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking target system type... yes yes aarch64-unknown-linux-gnu checking whether /usr/bin/make sets $(MAKE)... checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking for mkstemps... yes checking for a BSD-compatible install... /usr/bin/install -c checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether we are cross compiling... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wshadow=local... checking whether the C compiler works... yes checking for putenv... yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic ... no checking for suffix of object files... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking whether aarch64-linux-gnu-gcc-13 and cc understand -c and -o together... o checking whether we are using the GNU C compiler... yes checking for random... checking whether we are cross compiling... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... yes no checking for suffix of object files... checking for rename... yes checking for an ANSI C-conforming const... o checking whether we are using the GNU C compiler... none needed checking how to run the C preprocessor... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for inline... yes checking for rindex... aarch64-linux-gnu-gcc-13 -E inline checking whether byte ordering is bigendian... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes none needed checking for setenv... checking whether we are using the GNU C++ compiler... yes no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... no checking target system type... checking for snprintf... yes checking whether aarch64-linux-gnu-g++-13 accepts -g... aarch64-unknown-linux-gnu checking for sys/file.h... yes yes checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking how to run the C preprocessor... checking for sys/param.h... yes yes aarch64-linux-gnu-gcc-13 -E checking for limits.h... checking for sigsetmask... yes checking for sys/types.h... yes checking for stdlib.h... (cached) yes checking for grep that handles long lines and -e... checking for malloc.h... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes yes yes checking for stpcpy... checking for string.h... (cached) yes checking for sys/stat.h... checking for unistd.h... (cached) yes checking for strings.h... (cached) yes checking for sys/time.h... yes checking for stdlib.h... yes yes checking for stpncpy... checking for time.h... yes yes checking for sys/resource.h... checking for string.h... yes checking for sys/stat.h... (cached) yes yes checking for sys/mman.h... checking for strcasecmp... yes yes checking for sys/types.h... yes checking for memory.h... checking for fcntl.h... yes checking for alloca.h... yes checking for sys/stat.h... yes yes yes checking for strings.h... checking for strchr... checking for sys/pstat.h... yes no checking for sys/sysmp.h... checking for stdlib.h... yes checking for inttypes.h... no yes checking for sys/sysinfo.h... checking for strdup... yes yes checking for machine/hal_sysinfo.h... checking for string.h... yes checking for stdint.h... no checking for sys/table.h... yes checking for strncasecmp... yes no checking for sys/sysctl.h... checking for memory.h... yes checking for unistd.h... no checking for sys/systemcfg.h... no yes checking for stdint.h... (cached) yes yes checking for strings.h... checking for stdio_ext.h... checking for strndup... yes checking minix/config.h usability... yes checking for process.h... yes no checking for sys/prctl.h... checking for inttypes.h... yes checking for strnlen... yes no checking minix/config.h presence... checking for sys/wait.h that is POSIX.1 compatible... no checking for minix/config.h... no yes checking whether it is safe to define __EXTENSIONS__... checking for stdint.h... yes checking whether time.h and sys/time.h may both be included... yes checking for strrchr... yes checking for unistd.h... yes checking for a sed that does not truncate output... yes checking whether errno must be declared... /usr/bin/sed checking whether aarch64-linux-gnu-gcc-13 supports -W... no checking size of int... yes yes checking for strstr... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wall... checking minix/config.h usability... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... yes yes no checking minix/config.h presence... checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking for strtod... 4 checking size of long... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes checking for strtol... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-format-attribute... 8 no checking for strtoul... checking size of size_t... checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether aarch64-linux-gnu-gcc-13 supports -W... yes checking whether aarch64-linux-gnu-gcc-13 supports -Woverlength-strings... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wall... yes checking for strtoll... yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic -Wlong-long... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wnarrowing... 8 yes checking for long long... checking for ANSI C header files... (cached) yes checking stddef.h usability... yes yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... checking for strtoull... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-format-attribute... yes checking stddef.h presence... yes yes checking for stddef.h... yes yes checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... checking for strverscmp... checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for unistd.h... (cached) yes yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... checking fcntl.h usability... yes checking size of long long... yes checking for tmpnam... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking fcntl.h presence... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wc++-compat... yes checking for fcntl.h... yes yes checking sys/file.h usability... checking for vasprintf... yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic -Wlong-long... 8 checking for a 64-bit type... yes checking whether aarch64-linux-gnu-gcc-13 supports -fno-exceptions... uint64_t checking for intptr_t... yes yes checking sys/file.h presence... checking for vfprintf... yes yes checking for sys/file.h... yes checking whether aarch64-linux-gnu-gcc-13 supports -fno-rtti... checking for sys/stat.h... (cached) yes checking for clearerr_unlocked... yes yes checking for vprintf... checking dependency style of aarch64-linux-gnu-g++-13... yes checking for feof_unlocked... yes checking for uintptr_t... yes checking for vsnprintf... gcc3 checking whether time.h and sys/time.h may both be included... yes checking for ferror_unlocked... yes checking whether string.h and strings.h may both be included... yes checking for vsprintf... yes checking locale.h usability... yes checking for fflush_unlocked... yes yes checking for ssize_t... checking for waitpid... yes checking locale.h presence... yes yes checking for locale.h... yes checking for fgetc_unlocked... yes checking fcntl.h usability... checking for setproctitle... yes checking for fgets_unlocked... no checking whether alloca needs Cray hooks... yes checking fcntl.h presence... yes checking for pid_t... no checking stack direction for C alloca... yes checking for fcntl.h... yes checking limits.h usability... yes checking for fileno_unlocked... 1 checking for vfork.h... yes checking limits.h presence... no checking for fork... yes checking for fprintf_unlocked... yes checking for limits.h... yes checking stddef.h usability... yes checking for library containing strerror... no yes checking for fputc_unlocked... checking for vfork... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes none required checking for strings.h... (cached) yes checking for asprintf... checking for string.h... (cached) yes yes yes checking sys/file.h usability... checking for working fork... checking for fputs_unlocked... yes checking for atexit... yes yes checking sys/file.h presence... checking for fread_unlocked... yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking whether byte ordering is bigendian... yes checking for working vfork... (cached) yes checking for _doprnt... yes checking for basename... yes checking for fwrite_unlocked... no checking for sys_errlist... yes checking for bcmp... yes checking for getchar_unlocked... no checking for an ANSI C-conforming const... no checking for sys_nerr... yes checking for bcopy... yes checking for inline... yes checking for getc_unlocked... inline checking for obstacks... no checking for sys_siglist... yes checking for bsearch... yes checking for putchar_unlocked... no checking for external symbol _system_configuration... yes checking for off_t... no checking for __fsetlocking... yes checking for bzero... yes checking for putc_unlocked... yes checking for canonicalize_file_name... yes yes checking for calloc... checking whether abort is declared... yes yes checking for size_t... checking for dup3... yes checking for clock... yes yes checking whether asprintf is declared... checking for getrlimit... yes checking for ffs... yes yes checking for ssize_t... yes checking for getrusage... checking whether basename is declared... yes checking for getcwd... yes checking for getsysinfo... yes checking whether errno is declared... yes checking for getpagesize... yes checking for uintptr_t... no checking for gettimeofday... (cached) yes checking for on_exit... no checking whether vasprintf is declared... yes checking for gettimeofday... yes checking for pipe2... yes checking whether memmem is declared... yes checking for index... yes checking for ptrdiff_t... yes checking for psignal... yes checking whether clearerr_unlocked is declared... yes checking for insque... yes checking for pstat_getdynamic... yes checking whether feof_unlocked is declared... yes checking for memchr... yes checking for uint64_t... no checking for pstat_getstatic... yes checking whether ferror_unlocked is declared... yes checking for memcmp... yes checking whether struct tm is in sys/time.h or time.h... no checking for realpath... time.h checking size of int... yes checking whether fflush_unlocked is declared... yes yes checking for setrlimit... checking for memcpy... yes yes checking whether fgetc_unlocked is declared... yes checking for spawnve... 4 checking for memmem... checking size of long... no yes checking for spawnvpe... yes checking for memmove... checking whether fgets_unlocked is declared... 8 no checking for clearerr_unlocked... checking for strerror... yes checking for mempcpy... yes checking whether fileno_unlocked is declared... yes yes checking for feof_unlocked... checking for strsignal... yes checking for memset... yes checking whether fprintf_unlocked is declared... yes yes checking for ferror_unlocked... checking for sysconf... yes checking for mkstemps... yes no yes checking whether fputc_unlocked is declared... checking for sysctl... checking for fflush_unlocked... yes checking for putenv... no yes checking for sysmp... checking for fgetc_unlocked... yes checking whether fputs_unlocked is declared... yes no checking for random... checking for table... yes checking for fgets_unlocked... yes checking whether fread_unlocked is declared... yes no checking for rename... checking for times... yes checking for fileno_unlocked... yes checking whether fwrite_unlocked is declared... yes yes checking for rindex... checking for wait3... yes checking for fprintf_unlocked... yes yes yes checking whether getchar_unlocked is declared... checking for setenv... checking for wait4... no checking for fputc_unlocked... yes yes yes checking for snprintf... checking for sbrk... checking whether getc_unlocked is declared... yes checking for fputs_unlocked... yes yes checking for sigsetmask... checking whether basename is declared... yes checking whether putchar_unlocked is declared... yes checking for fread_unlocked... yes checking for stpcpy... yes checking whether ffs is declared... yes checking whether putc_unlocked is declared... yes checking for fwrite_unlocked... yes checking for stpncpy... yes checking whether asprintf is declared... yes checking for an ANSI C-conforming const... yes yes checking for getchar_unlocked... checking for strcasecmp... yes checking sys/mman.h usability... yes checking whether vasprintf is declared... yes checking for getc_unlocked... yes checking sys/mman.h presence... yes yes checking for sys/mman.h... yes checking for mmap... checking for strchr... yes checking whether snprintf is declared... yes checking for putchar_unlocked... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking for strdup... yes yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no checking whether vsnprintf is declared... yes checking for putc_unlocked... configure: updating cache ./config.cache configure: creating ./config.status yes checking for strncasecmp... yes yes checking whether calloc is declared... checking whether abort is declared... yes checking for strndup... yes yes config.status: creating Makefile checking whether getenv is declared... checking whether asprintf is declared... config.status: creating mkheaders.almost yes checking for strnlen... config.status: creating config.h yes yes checking whether getopt is declared... checking whether basename is declared... yes mkdir -p -- ./libbacktrace Configuring in ./libbacktrace checking for strrchr... yes checking whether malloc is declared... yes checking whether errno is declared... yes checking for strstr... yes no checking whether realloc is declared... checking whether getopt is declared... yes checking for strtod... yes yes checking whether sbrk is declared... checking whether vasprintf is declared... yes checking for strtol... yes yes yes checking whether strtol is declared... checking whether clearerr_unlocked is declared... checking for strtoul... yes configure: creating cache ./config.cache checking for strtoll... yes yes checking build system type... checking whether strtoul is declared... checking whether feof_unlocked is declared... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 yes checking for strtoull... checking whether the C compiler works... yes yes checking whether strtoll is declared... checking whether ferror_unlocked is declared... yes yes checking for C compiler default output file name... a.out checking for suffix of executables... checking for strverscmp... yes checking whether strtoull is declared... yes checking whether fflush_unlocked is declared... checking whether we are cross compiling... yes checking for tmpnam... yes yes checking whether strverscmp is declared... no checking whether fgetc_unlocked is declared... checking for suffix of object files... yes o checking whether we are using the GNU C compiler... checking for vasprintf... yes yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... checking whether strnlen is declared... yes checking whether fgets_unlocked is declared... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... yes checking for vfprintf... yes checking whether canonicalize_file_name must be declared... none needed yes checking whether aarch64-linux-gnu-gcc-13 understands -c and -o together... checking whether fileno_unlocked is declared... yes checking for vprintf... yes checking how to run the C preprocessor... no checking for SHA1 HW acceleration support... yes no checking for stdlib.h... (cached) yes checking whether fprintf_unlocked is declared... aarch64-linux-gnu-gcc-13 -E checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes yes checking for getpagesize... (cached) yes checking for working mmap... checking for vsnprintf... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... no checking whether fputc_unlocked is declared... yes checking for vsprintf... yes checking whether fputs_unlocked is declared... yes checking for working strncmp... yes checking for waitpid... yes checking whether fread_unlocked is declared... yes checking for sys/types.h... yes yes checking for setproctitle... yes configure: updating cache ./config.cache configure: creating ./config.status checking for sys/stat.h... yes checking whether fwrite_unlocked is declared... no checking whether alloca needs Cray hooks... yes checking for stdlib.h... no checking stack direction for C alloca... yes checking whether getchar_unlocked is declared... yes checking for string.h... 1 checking for vfork.h... config.status: creating Makefile no checking for fork... config.status: creating testsuite/Makefile yes yes checking for memory.h... checking whether getc_unlocked is declared... config.status: creating config.h config.status: executing default commands yes yes checking for strings.h... checking for vfork... yes checking whether putchar_unlocked is declared... mkdir -p -- ./libcody Configuring in ./libcody yes yes checking for inttypes.h... checking for working fork... yes yes checking whether putc_unlocked is declared... checking for stdint.h... yes yes checking for working vfork... (cached) yes checking for _doprnt... checking for unistd.h... yes checking for working alloca.h... yes no checking for sys_errlist... checking minix/config.h usability... yes checking for alloca... no checking for sys_nerr... yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no checking for sys_siglist... yes checking whether NLS is requested... no checking for uchar... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... checking size of ino_t... yes checking for a thread-safe mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes no checking for external symbol _system_configuration... checking whether /usr/bin/make supports nested variables... configure: creating cache ./config.cache yes checking whether to enable maintainer-specific portions of Makefiles... no checking build system type... checking for aarch64-linux-gnu-gcc... (cached) aarch64-linux-gnu-gcc-13 aarch64-unknown-linux-gnu checking host system type... no checking for __fsetlocking... aarch64-unknown-linux-gnu checking maintainer-mode... checking whether we are using the GNU C compiler... (cached) yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... (cached) yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... (cached) none needed checking whether aarch64-linux-gnu-gcc-13 understands -c and -o together... (cached) yes checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for gawk... (cached) gawk checking for dwz... dwz checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by aarch64-linux-gnu-gcc-13... checking whether the C++ compiler works... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... aarch64-linux-gnu-nm checking the name lister (aarch64-linux-gnu-nm) interface... 8 checking size of dev_t... yes checking for canonicalize_file_name... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... yes checking for C++ compiler default output file name... a.out checking for suffix of executables... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for aarch64-linux-gnu-ld option to reload object files... -r checking for aarch64-linux-gnu-objdump... aarch64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking for aarch64-linux-gnu-ranlib... (cached) aarch64-linux-gnu-ranlib checking command to parse aarch64-linux-gnu-nm output from aarch64-linux-gnu-gcc-13 object... yes checking for dup3... checking whether we are cross compiling... 8 checking for ld used by GCC... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... yes done checking for getrlimit... checking for iconv... (cached) no checking for CET support... no checking valgrind.h usability... no checking for suffix of object files... ok checking for dlfcn.h... o checking whether we are using the GNU C++ compiler... yes no checking valgrind.h presence... checking for getrusage... yes checking for objdir... yes checking whether aarch64-linux-gnu-g++-13 accepts -g... .libs no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... yes checking whether aarch64-linux-gnu-g++-13 is for C++11... no checking for VALGRIND_DISCARD in ... yes checking for getsysinfo... no checking if aarch64-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... adding -std=c++11 checking adding -Wl,--no-undefined to linker... configure: updating cache ./config.cache configure: creating ./config.status no checking for aarch64-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if aarch64-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... no checking for gettimeofday... (cached) yes checking for on_exit... yes checking if aarch64-linux-gnu-gcc-13 static flag -static works... ok checking exceptions... no checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar configure: updating cache ./config.cache configure: creating ./config.status yes checking for pipe2... yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... config.status: creating Makefile yes config.status: creating config.h checking for psignal... yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the aarch64-linux-gnu-gcc-13 linker (aarch64-linux-gnu-ld) supports shared libraries... config.status: executing depdir commands mkdir -p -- .deps yes checking whether -lc should be explicitly linked in... mkdir -p -- ./libdecnumber config.status: creating Makefile no checking dynamic linker characteristics... yes Configuring in ./libdecnumber checking for pstat_getdynamic... config.status: creating config.h no checking for pstat_getstatic... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... mkdir -p -- ./c++tools yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... Configuring in ./c++tools no checking unwind.h usability... no checking for realpath... yes checking unwind.h presence... yes checking for unwind.h... yes checking for _Unwind_Backtrace... yes checking for setrlimit... yes checking for -funwind-tables option... yes checking for spawnve... yes checking for -frandom-seed=string option... yes checking whether aarch64-linux-gnu-gcc-13 supports -W... no checking for spawnvpe... configure: creating cache ./config.cache checking whether /usr/bin/make sets $(MAKE)... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wall... yes checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether the C compiler works... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... no checking for strerror... configure: creating cache ./config.cache yes checking build system type... checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... aarch64-unknown-linux-gnu checking host system type... yes checking for C compiler default output file name... a.out checking for suffix of executables... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes checking whether the C++ compiler works... checking for strsignal... checking whether we are cross compiling... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking for sysconf... yes checking for C++ compiler default output file name... a.out checking for suffix of executables... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-format-attribute... no checking for suffix of object files... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wcast-qual... o checking whether we are using the GNU C compiler... yes checking for sysctl... checking whether we are cross compiling... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for _Unwind_GetIPInfo... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... no checking for sysmp... yes no checking for CET support... no checking __sync extensions... checking for suffix of object files... none needed checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether aarch64-linux-gnu-gcc-13 supports -W... o checking whether we are using the GNU C++ compiler... yes checking __atomic extensions... yes no checking whether aarch64-linux-gnu-gcc-13 supports -Wall... checking for table... yes checking whether aarch64-linux-gnu-g++-13 accepts -g... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking output filetype... yes checking for autoconf... autoconf checking for autoheader... autoheader checking whether to build C++ tools... yes checking maintainer-mode... no checking for O_CLOEXEC... no yes checking for times... checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... elf64 looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes checking how to run the C++ preprocessor... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes checking for wait3... yes aarch64-linux-gnu-g++-13 -E checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking for uintptr_t... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-format-attribute... yes checking for wait4... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wcast-qual... yes checking for int_least32_t... yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic -Wlong-long... yes checking for sbrk... yes checking whether aarch64-linux-gnu-gcc-13 supports -fno-lto... yes checking for int_fast32_t... yes checking how to run the C preprocessor... yes checking whether basename is declared... aarch64-linux-gnu-gcc-13 -E yes checking for uint64_t... checking for grep that handles long lines and -e... yes /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking whether ffs is declared... yes checking for sys/types.h... yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... yes yes checking whether asprintf is declared... checking for sys/stat.h... yes checking sys/mman.h presence... yes checking for sys/mman.h... yes checking for mmap... yes yes checking whether vasprintf is declared... checking for stdlib.h... yes checking for sys/types.h... yes yes checking link.h usability... checking for sys/stat.h... yes yes checking whether snprintf is declared... checking for string.h... yes checking for stdlib.h... yes checking link.h presence... yes checking whether vsnprintf is declared... yes checking for link.h... yes yes checking sys/link.h usability... checking for string.h... yes checking for memory.h... yes yes checking whether calloc is declared... checking for memory.h... no checking sys/link.h presence... no checking for sys/link.h... no checking for dl_iterate_phdr... yes checking for strings.h... yes checking for strings.h... yes checking whether getenv is declared... yes checking mach-o/dyld.h usability... yes checking for inttypes.h... yes checking for inttypes.h... yes checking whether getopt is declared... no checking mach-o/dyld.h presence... yes checking for stdint.h... no checking for mach-o/dyld.h... no checking sys/ldr.h usability... yes checking for stdint.h... yes checking whether malloc is declared... yes checking for unistd.h... no checking sys/ldr.h presence... no checking for sys/ldr.h... no checking for fcntl... yes checking whether realloc is declared... yes yes checking for unistd.h... checking ctype.h usability... yes checking whether strnlen is declared... yes checking whether sbrk is declared... yes checking ctype.h presence... yes checking for ctype.h... yes checking stddef.h usability... yes checking sys/mman.h usability... yes checking whether getpagesize is declared... yes checking whether strtol is declared... yes checking stddef.h presence... yes checking for stddef.h... yes checking for string.h... (cached) yes checking stdio.h usability... yes checking for lstat... yes yes checking sys/mman.h presence... checking whether strtoul is declared... yes checking stdio.h presence... yes checking for sys/mman.h... yes checking for AF_UNIX... yes yes checking for stdio.h... yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... checking for readlink... yes checking whether strtoll is declared... yes checking for AF_INET6... yes checking for getexecname... yes checking for uintptr_t... yes yes checking for epoll... checking whether strtoull is declared... no checking for KERN_PROC... yes checking for int_least32_t... yes checking for pselect... no checking for KERN_PROG_ARGS... yes checking whether strverscmp is declared... yes checking for select... no checking for clock_gettime... yes checking for int_fast32_t... yes checking for accept4... yes checking whether strnlen is declared... yes checking whether -pthread is supported... yes checking for inet_ntop... yes checking whether -gdwarf-5 is supported... yes checking for uint64_t... yes checking whether canonicalize_file_name must be declared... yes checking for library containing gethostbyname... yes checking for compress in -lz... yes checking what to include in gstdint.h... stdint.h (already complete) checking for an ANSI C-conforming const... no checking for SHA1 HW acceleration support... none required checking for library containing socket... yes checking for off_t... yes checking whether --build-id is supported... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... none required yes checking whether --compress-debug-sections is supported... configure: updating cache ./config.cache configure: creating ./config.status yes checking for ZSTD_compress in -lzstd... yes checking size of int... no checking whether --compress-debug-sections=zstd is supported... yes checking for working strncmp... yes checking for objcopy... objcopy checking for readelf... aarch64-linux-gnu-readelf checking whether objcopy supports debuglink... config.status: creating Makefile yes checking for dsymutil... dsymutil checking for nm... aarch64-linux-gnu-nm checking for xz... xz checking for comm... comm checking for lzma_auto_decoder in -llzma... 4 config.status: creating config.h checking size of long... yes no checking whether tests can run... configure: updating cache ./config.cache make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' if [ x"-fPIC" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir configure: creating ./config.status if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi yes 8 checking for ANSI C header files... (cached) yes checking build system type... aarch64-unknown-linux-gnu checking host system type... configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking for decimal floating point... bid checking whether byte ordering is bigendian... config.status: creating Makefile config.status: creating testsuite/Makefile no checking for CET support... no config.status: creating config.h configure: updating cache ./config.cache configure: creating ./config.status config.status: executing default commands if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dwarfnames.c -o dwarfnames.o make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi config.status: creating Makefile config.status: creating config.h config.status: executing gstdint.h commands make[4]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dyn-string.c -o dyn-string.o aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi config.status: creating Makefile config.status: creating backtrace-supported.h if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o dyn-string.o config.status: creating install-debuginfo-for-buildid.sh if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filedescriptor.c -o filedescriptor.o config.status: creating config.h config.status: executing libtool commands config.status: executing gstdint.h commands if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi config.status: executing default commands if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fibheap.c -o fibheap.o aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filename_cmp.c -o filename_cmp.o mkdir -p -- ./libcpp Configuring in ./libcpp if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o fdmatch.o make[4]: Entering directory '/<>/builddir/gcc/build/libcody' aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o fibheap.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi configure: creating cache ./config.cache checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking target system type... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o filedescriptor.o aarch64-unknown-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes checking for a BSD-compatible install... /usr/bin/install -c checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether the C compiler works... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o filename_cmp.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/floatformat.c -o floatformat.o yes checking for C compiler default output file name... a.out checking for suffix of executables... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o floatformat.o checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fnmatch.c -o fnmatch.o aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi none needed if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi yes checking whether aarch64-linux-gnu-g++-13 accepts -g... aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o getopt.o yes checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking how to run the C preprocessor... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi aarch64-linux-gnu-gcc-13 -E if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt.c -o getopt.o aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o getpwd.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o getruntime.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o hashtab.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getpwd.c -o getpwd.o yes checking for sys/types.h... yes checking for sys/stat.h... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi yes checking for stdlib.h... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getruntime.c -o getruntime.o yes checking for string.h... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi yes checking for memory.h... yes checking for strings.h... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o hex.o yes checking for inttypes.h... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o lbasename.o yes checking for stdint.h... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o lrealpath.o yes checking for unistd.h... aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o yes checking minix/config.h usability... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hashtab.c -o hashtab.o no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether aarch64-linux-gnu-gcc-13 supports -W... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o make-temp-file.o checking whether aarch64-linux-gnu-gcc-13 supports -Wall... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wnarrowing... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wwrite-strings... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-format-attribute... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o objalloc.o yes if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hex.c -o hex.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o obstack.o yes checking whether aarch64-linux-gnu-gcc-13 supports -Wc++-compat... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi yes checking whether aarch64-linux-gnu-gcc-13 supports -pedantic -Wlong-long... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi checking whether aarch64-linux-gnu-gcc-13 supports -fno-exceptions... aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lbasename.c -o lbasename.o yes checking whether aarch64-linux-gnu-gcc-13 supports -fno-rtti... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o partition.o yes if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi checking dependency style of aarch64-linux-gnu-g++-13... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi gcc3 checking whether time.h and sys/time.h may both be included... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pexecute.o yes checking whether string.h and strings.h may both be included... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi yes checking locale.h usability... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o physmem.o yes checking locale.h presence... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi checking for locale.h... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pex-common.o checking fcntl.h usability... yes checking fcntl.h presence... yes checking for fcntl.h... yes checking limits.h usability... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o yes checking limits.h presence... yes checking for limits.h... yes checking stddef.h usability... yes checking stddef.h presence... yes checking for stddef.h... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pex-one.o checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi yes checking sys/file.h presence... yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking whether byte ordering is bigendian... aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-temp-file.c -o make-temp-file.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o vprintf-support.o no checking for an ANSI C-conforming const... yes checking for inline... inline checking for obstacks... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o rust-demangle.o yes checking for off_t... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/objalloc.c -o objalloc.o yes checking for size_t... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi yes checking for ssize_t... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/obstack.c -o obstack.o yes checking for uintptr_t... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi yes checking for ptrdiff_t... aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/partition.c -o partition.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi yes checking for uint64_t... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pexecute.c -o pexecute.o yes checking whether struct tm is in sys/time.h or time.h... time.h checking size of int... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o simple-object.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi 4 aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/physmem.c -o physmem.o checking size of long... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi 8 checking for clearerr_unlocked... yes checking for feof_unlocked... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o yes checking for ferror_unlocked... yes checking for fflush_unlocked... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-common.c -o pex-common.o yes aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc checking for fgetc_unlocked... yes checking for fgets_unlocked... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o yes checking for fileno_unlocked... yes checking for fprintf_unlocked... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi no checking for fputc_unlocked... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-one.c -o pex-one.o yes checking for fputs_unlocked... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi yes checking for fread_unlocked... yes checking for fwrite_unlocked... yes checking for getchar_unlocked... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-unix.c -o pex-unix.o yes checking for getc_unlocked... yes checking for putchar_unlocked... yes checking for putc_unlocked... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o checking whether abort is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/vprintf-support.c -o vprintf-support.o yes checking whether asprintf is declared... yes checking whether basename is declared... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi yes checking whether errno is declared... no checking whether getopt is declared... yes checking whether vasprintf is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o sort.o yes checking whether fgets_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o spaces.o yes checking whether fileno_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o splay-tree.o yes checking whether fprintf_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/rust-demangle.c -o rust-demangle.o no checking whether fputc_unlocked is declared... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o stack-limit.o checking whether fputs_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o strerror.o yes checking whether fread_unlocked is declared... yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi checking whether fwrite_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o strsignal.o yes checking whether getchar_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o timeval-utils.o yes if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi checking whether getc_unlocked is declared... aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o xasprintf.o yes checking whether putchar_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o xatexit.o yes checking whether putc_unlocked is declared... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o xexit.o yes checking for working alloca.h... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o xmalloc.o yes checking for alloca... yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o xmemdup.o aarch64-linux-gnu-g++-13 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \ -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc yes checking whether NLS is requested... yes checking for catalogs to be installed... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o setproctitle.o be ca da de el eo es fi fr id ja ka nl pt_BR ro ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja ka nl pt_BR ro ru sr sv tr uk vi zh_CN zh_TW checking for uchar... echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list make[5]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty/testsuite' checking size of ino_t... if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o regex.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object.c -o simple-object.o 8 checking size of dev_t... 8 checking for ld used by GCC... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for CET support... no checking valgrind.h usability... aarch64-linux-gnu-ar -cr libcody.a buffer.o client.o fatal.o netclient.o netserver.o resolver.o packet.o server.o aarch64-linux-gnu-ranlib libcody.a no checking valgrind.h presence... make[4]: Leaving directory '/<>/builddir/gcc/build/libcody' no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/decNumber.c no checking for VALGRIND_DISCARD in ... no configure: updating cache ./config.cache configure: creating ./config.status if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o config.status: creating Makefile config.status: creating config.h config.status: executing depdir commands mkdir -p -- .deps make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o atomic.lo ../../src/libbacktrace/atomic.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi true DO=all multi-do # /usr/bin/make /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o dwarf.lo ../../src/libbacktrace/dwarf.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o cp-demangle.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/decContext.c if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o fileline.lo ../../src/libbacktrace/fileline.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o source='../../src/libdecnumber/bid/decimal32.c' object='decimal32.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/decimal32.c if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sort.c -o sort.o libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/spaces.c -o spaces.o /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o posix.lo ../../src/libbacktrace/posix.c source='../../src/libdecnumber/bid/decimal64.c' object='decimal64.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/decimal64.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o print.lo ../../src/libbacktrace/print.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/splay-tree.c -o splay-tree.o libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o sort.lo ../../src/libbacktrace/sort.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o source='../../src/libdecnumber/bid/decimal128.c' object='decimal128.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/decimal128.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/stack-limit.c -o stack-limit.o /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o state.lo ../../src/libbacktrace/state.c if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strerror.c -o strerror.o /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o backtrace.lo ../../src/libbacktrace/backtrace.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o source='../../src/libdecnumber/bid/bid2dpd_dpd2bid.c' object='bid2dpd_dpd2bid.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/bid2dpd_dpd2bid.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o simple.lo ../../src/libbacktrace/simple.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strsignal.c -o strsignal.o /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o elf.lo ../../src/libbacktrace/elf.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o source='../../src/libdecnumber/bid/host-ieee32.c' object='host-ieee32.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/host-ieee32.c if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi source='../../src/libdecnumber/bid/host-ieee64.c' object='host-ieee64.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/host-ieee64.c if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi source='../../src/libdecnumber/bid/host-ieee128.c' object='host-ieee128.o' libtool=no aarch64-linux-gnu-gcc-13 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=3 -c ../../src/libdecnumber/bid/host-ieee128.c if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o rm -f libdecnumber.a aarch64-linux-gnu-ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o bid2dpd_dpd2bid.o host-ieee32.o host-ieee64.o host-ieee128.o aarch64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') aarch64-linux-gnu-ranlib libdecnumber.a if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xasprintf.c -o xasprintf.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xatexit.c -o xatexit.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xexit.c -o xexit.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmemdup.c -o xmemdup.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o md5.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/setproctitle.c -o setproctitle.o echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o sha1.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o argv.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o bsearch_r.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o concat.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o d-demangle.o libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a aarch64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o aarch64-linux-gnu-ranlib ./libiberty.a if [ x"" != x ]; then \ cd pic; \ aarch64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ aarch64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ aarch64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ aarch64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty' if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/md5.c -o md5.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/regex.c -o regex.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sha1.c -o sha1.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/alloca.c -o alloca.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/argv.c -o argv.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demangle.c -o cp-demangle.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/bsearch_r.c -o bsearch_r.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/concat.c -o concat.o /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmapio.lo ../../src/libbacktrace/mmapio.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmap.lo ../../src/libbacktrace/mmap.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=3 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/crc32.c -o crc32.o if [ x"-fPIC" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi /bin/bash ./libtool --tag=CC --mode=link aarch64-linux-gnu-gcc-13 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -static-libstdc++ -static-libgcc -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo libtool: link: aarch64-linux-gnu-ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o libtool: link: aarch64-linux-gnu-ranlib .libs/libbacktrace.a libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/fixincludes' aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c if [ x"" != x ]; then \ aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi aarch64-linux-gnu-gcc-13 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/d-demangle.c -o d-demangle.o aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh aarch64-unknown-linux-gnu sed -e 's/@gcc_version@/13/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders make[4]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libcpp' aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc aarch64-linux-gnu-gcc-13 -g -O2 -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a echo timestamp > full-stamp make[4]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/fixincludes' aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a aarch64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o aarch64-linux-gnu-ranlib ./libiberty.a ../../../src/libcpp/expr.cc: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: ../../../src/libcpp/expr.cc:821:35: warning: format not a string literal and no format arguments [-Wformat-security] 821 | cpp_warning_with_line (pfile, CPP_W_LONG_LONG, virtual_location, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 822 | 0, message); | ~~~~~~~~~~~ ../../../src/libcpp/expr.cc:824:38: warning: format not a string literal and no format arguments [-Wformat-security] 824 | cpp_pedwarning_with_line (pfile, CPP_W_LONG_LONG, | ~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ 825 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/expr.cc:834:33: warning: format not a string literal and no format arguments [-Wformat-security] 834 | cpp_warning_with_line (pfile, CPP_W_SIZE_T_LITERALS, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 835 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ if [ x"-fPIC" != x ]; then \ cd pic; \ aarch64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ aarch64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ aarch64-linux-gnu-ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ aarch64-linux-gnu-ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc aarch64-linux-gnu-gcc-13 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=3 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh aarch64-unknown-linux-gnu sed -e 's/@gcc_version@/13/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders aarch64-linux-gnu-gcc-13 -g -O2 -static-libstdc++ -static-libgcc -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a echo timestamp > full-stamp make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /bin/bash ./libtool --tag=CC --tag=disable-static --mode=compile aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -DBASE_VERSION='"13"' -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c libtool: compile: aarch64-linux-gnu-gcc-13 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -DBASE_VERSION=\"13\" -g -O2 -c ../../src/lto-plugin/lto-plugin.c -fPIC -DPIC -o .libs/lto-plugin.o aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc ../../../src/libcpp/macro.cc: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: ../../../src/libcpp/macro.cc:185:26: warning: format not a string literal and no format arguments [-Wformat-security] 185 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 186 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/macro.cc:214:34: warning: format not a string literal and no format arguments [-Wformat-security] 214 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 215 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/macro.cc: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: ../../../src/libcpp/macro.cc:3704:25: warning: format not a string literal and no format arguments [-Wformat-security] 3704 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libcpp/macro.cc:3719:25: warning: format not a string literal and no format arguments [-Wformat-security] 3719 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /bin/bash ./libtool --tag=CC --tag=disable-static --mode=link aarch64-linux-gnu-gcc-13 -Wall -DBASE_VERSION='"13"' -g -O2 -Wc,-static-libgcc -pthread -module -avoid-version -bindir /usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 lto-plugin.lo -Wc,../libiberty/pic/libiberty.a libtool: link: aarch64-linux-gnu-gcc-13 -shared -fPIC -DPIC .libs/lto-plugin.o -static-libgcc -pthread -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -pthread -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" ) mkdir -p -- ../gcc libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<>/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<>/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.cc aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc aarch64-linux-gnu-g++-13 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -DPACKAGE_SUFFIX=\"-13\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.cc rm -f libcpp.a aarch64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o aarch64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') aarch64-linux-gnu-ranlib libcpp.a make[4]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libcpp' aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.cc ../../src/libcpp/expr.cc: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: ../../src/libcpp/expr.cc:821:35: warning: format not a string literal and no format arguments [-Wformat-security] 821 | cpp_warning_with_line (pfile, CPP_W_LONG_LONG, virtual_location, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 822 | 0, message); | ~~~~~~~~~~~ ../../src/libcpp/expr.cc:824:38: warning: format not a string literal and no format arguments [-Wformat-security] 824 | cpp_pedwarning_with_line (pfile, CPP_W_LONG_LONG, | ~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ 825 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libcpp/expr.cc:834:33: warning: format not a string literal and no format arguments [-Wformat-security] 834 | cpp_warning_with_line (pfile, CPP_W_SIZE_T_LITERALS, | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 835 | virtual_location, 0, message); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.cc echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.cc ../../src/libcpp/macro.cc: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: ../../src/libcpp/macro.cc:185:26: warning: format not a string literal and no format arguments [-Wformat-security] 185 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 186 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../src/libcpp/macro.cc:214:34: warning: format not a string literal and no format arguments [-Wformat-security] 214 | cpp_error_at (m_pfile, CPP_DL_ERROR, token->src_loc, | ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 215 | vaopt_paste_error); | ~~~~~~~~~~~~~~~~~~ ../../src/libcpp/macro.cc: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: ../../src/libcpp/macro.cc:3704:25: warning: format not a string literal and no format arguments [-Wformat-security] 3704 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libcpp/macro.cc:3719:25: warning: format not a string literal and no format arguments [-Wformat-security] 3719 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.cc aarch64-linux-gnu-g++-13 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -DPACKAGE_SUFFIX=\"-13\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc Configuring in ./gcc configure: creating cache ./config.cache checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default dsymutil was specified... no checking whether a default assembler was specified... no checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... none needed checking whether we are using the GNU C++ compiler... yes checking whether aarch64-linux-gnu-g++-13 accepts -g... yes checking for aarch64-linux-gnu-gnatbind... aarch64-linux-gnu-gnatbind checking for aarch64-linux-gnu-gnatmake... gnatmake -v -j4 -R -eS checking whether compiler driver understands Ada and is recent enough... yes checking for aarch64-linux-gnu-gdc... no checking whether the D compiler works... no checking how to run the C++ preprocessor... aarch64-linux-gnu-g++-13 -E checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... rm -f libcpp.a aarch64-linux-gnu-ar cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o aarch64-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') yes checking for strings.h... aarch64-linux-gnu-ranlib libcpp.a make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking how to run the C preprocessor... aarch64-linux-gnu-gcc-13 -E checking for inline... inline checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of ino_t... 8 checking size of dev_t... 8 checking size of void *... 8 checking size of short... 2 checking size of int... 4 checking size of long... 8 checking for long long... yes checking size of long long... 8 checking for int8_t... yes checking for int16_t... yes checking for int32_t... yes checking for int64_t... yes checking for unsigned long long int... yes checking for long long int... yes checking for intmax_t... yes checking for intptr_t... yes checking for uint8_t... yes checking for uint16_t... yes checking for uint32_t... yes checking for uint64_t... yes checking for uintmax_t... yes checking for uintptr_t... yes checking for int64_t underlying type... long checking for std::swap in ... yes checking whether aarch64-linux-gnu-g++-13 is affected by placement new aliasing bug... no checking whether aarch64-linux-gnu-g++-13 supports -W... yes checking whether aarch64-linux-gnu-g++-13 supports -Wall... yes checking whether aarch64-linux-gnu-g++-13 supports -Wnarrowing... yes checking whether aarch64-linux-gnu-g++-13 supports -Wwrite-strings... yes checking whether aarch64-linux-gnu-g++-13 supports -Wcast-qual... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wstrict-prototypes... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wmissing-prototypes... yes checking whether aarch64-linux-gnu-g++-13 supports -Wmissing-format-attribute... yes checking whether aarch64-linux-gnu-g++-13 supports -Wconditionally-supported... yes checking whether aarch64-linux-gnu-g++-13 supports -Woverloaded-virtual... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wold-style-definition... yes checking whether aarch64-linux-gnu-gcc-13 supports -Wc++-compat... yes checking whether aarch64-linux-gnu-g++-13 supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... yes checking whether aarch64-linux-gnu-gcc-13 supports -fno-exceptions... yes checking whether aarch64-linux-gnu-gcc-13 supports -fno-rtti... yes checking whether aarch64-linux-gnu-gcc-13 supports -fasynchronous-unwind-tables... yes checking valgrind.h usability... no checking valgrind.h presence... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for VALGRIND_DISCARD in ... no checking for multiarch configuration... yes configure: WARNING: fixed-point is not supported for this target, ignored checking whether /usr/bin/make sets $(MAKE)... yes checking for gawk... gawk checking whether ln -s works... yes checking whether ln works... yes checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for a BSD compatible install... /usr/bin/install -c checking for cmp's capabilities... gnucompare checking for mktemp... yes checking for makeinfo... makeinfo --split-size=5000000 checking for modern makeinfo... yes checking for CONTENTS_OUTPUT_LOCATION support in makeinfo --split-size=5000000... yes checking for recent Pod::Man... yes checking for flex... /<>/builddir/gcc/src/missing flex checking for bison... /<>/builddir/gcc/src/missing bison checking for python3... python3 checking for modern python3... yes checking for nm... aarch64-linux-gnu-nm checking for ar... aarch64-linux-gnu-ar checking for sphinx-build... texinfo checking for ANSI C header files... (cached) yes checking whether time.h and sys/time.h may both be included... yes checking whether string.h and strings.h may both be included... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking whether termios.h defines TIOCGWINSZ... no checking whether sys/ioctl.h defines TIOCGWINSZ... yes checking for limits.h... yes checking for stddef.h... yes checking for string.h... (cached) yes checking for strings.h... (cached) yes checking for stdlib.h... (cached) yes checking for time.h... yes checking for iconv.h... yes checking for fcntl.h... yes checking for ftw.h... yes checking for unistd.h... (cached) yes checking for sys/auxv.h... yes checking for sys/file.h... yes checking for sys/time.h... yes checking for sys/mman.h... yes checking for sys/resource.h... yes checking for sys/param.h... yes checking for sys/times.h... yes checking for sys/stat.h... (cached) yes checking for sys/locking.h... no checking for sys/auxv.h... (cached) yes checking for direct.h... no checking for malloc.h... yes checking for langinfo.h... yes checking for ldfcn.h... no checking for locale.h... yes checking for wchar.h... yes checking for thread.h... no checking for pthread.h... yes checking for CHAR_BIT... yes checking whether byte ordering is bigendian... no checking how to run the C++ preprocessor... aarch64-linux-gnu-g++-13 -E checking for unordered_map... yes checking for tr1/unordered_map... yes checking for ext/hash_map... yes checking dependency style of aarch64-linux-gnu-g++-13... gcc3 checking for collect2 libraries... none required checking for library containing exc_resume... no checking for library containing kstat_open... no checking for library containing gethostbyname... none required checking for library containing socket... none required checking for library containing ldexp... none required checking for library containing dlopen... none required checking for inttypes.h... yes checking for library containing ZSTD_compress... no checking for zstd.h... (cached) no checking for times... yes checking for clock... yes checking for kill... yes checking for getrlimit... yes checking for setrlimit... yes checking for atoq... no checking for popen... yes checking for sysconf... yes checking for strsignal... yes checking for getrusage... yes checking for nl_langinfo... yes checking for gettimeofday... yes checking for mbstowcs... yes checking for wcswidth... yes checking for mmap... yes checking for posix_fallocate... yes checking for setlocale... yes checking for clearerr_unlocked... yes checking for feof_unlocked... yes checking for ferror_unlocked... yes checking for fflush_unlocked... yes checking for fgetc_unlocked... yes checking for fgets_unlocked... yes checking for fileno_unlocked... yes checking for fprintf_unlocked... no checking for fputc_unlocked... yes checking for fputs_unlocked... yes checking for fread_unlocked... yes checking for fwrite_unlocked... yes checking for getchar_unlocked... yes checking for getc_unlocked... yes checking for putchar_unlocked... yes checking for putc_unlocked... yes checking for madvise... yes checking for mallinfo... yes checking for mallinfo2... yes checking for fstatat... yes checking for getauxval... yes checking whether mbstowcs works... yes checking for ssize_t... yes checking for caddr_t... yes checking for sighander_t... no checking for sys/mman.h... (cached) yes checking for mmap... (cached) yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking for pid_t... yes checking for vfork.h... no checking for fork... yes checking for vfork... yes checking for working fork... yes checking for working vfork... (cached) yes checking for ld used by GCC... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for LC_MESSAGES... yes checking for nl_langinfo and CODESET... yes checking whether basename is declared... yes checking whether strstr is declared... yes checking whether getenv is declared... yes checking whether atol is declared... yes checking whether atoll is declared... yes checking whether asprintf is declared... yes checking whether sbrk is declared... yes checking whether abort is declared... yes checking whether atof is declared... yes checking whether getcwd is declared... yes checking whether getwd is declared... yes checking whether madvise is declared... yes checking whether stpcpy is declared... yes checking whether strnlen is declared... yes checking whether strsignal is declared... yes checking whether strverscmp is declared... yes checking whether strtol is declared... yes checking whether strtoul is declared... yes checking whether strtoll is declared... yes checking whether strtoull is declared... yes checking whether setenv is declared... yes checking whether unsetenv is declared... yes checking whether errno is declared... yes checking whether snprintf is declared... yes checking whether vsnprintf is declared... yes checking whether vasprintf is declared... yes checking whether malloc is declared... yes checking whether realloc is declared... yes checking whether calloc is declared... yes checking whether free is declared... yes checking whether getopt is declared... yes checking whether clock is declared... yes checking whether getpagesize is declared... yes checking whether ffs is declared... yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... yes checking whether putchar_unlocked is declared... yes checking whether putc_unlocked is declared... yes checking whether getrlimit is declared... yes checking whether setrlimit is declared... yes checking whether getrusage is declared... yes checking whether mallinfo is declared... yes checking whether mallinfo2 is declared... yes checking whether ldgetname is declared... no checking whether times is declared... yes checking whether sigaltstack is declared... yes checking for struct tms... yes checking for clock_t... yes checking for F_SETLKW... yes checking for O_CLOEXEC... yes checking for fcntl.h... (cached) yes checking whether O_NONBLOCK is declared... yes checking for AF_UNIX... yes checking for AF_INET6... yes checking for _LK_LOCK... no checking if mkdir takes one argument... no Using `../../src/gcc/config/aarch64/aarch64.cc' for machine-specific logic. Using `../../src/gcc/config/aarch64/aarch64.md' as machine description file. Using the following target machine macro files: ../../src/gcc/config/aarch64/biarchlp64.h ../../src/gcc/config/aarch64/aarch64.h ../../src/gcc/config/elfos.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/linux.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/aarch64/aarch64-elf.h ../../src/gcc/config/aarch64/aarch64-errata.h ../../src/gcc/config/aarch64/aarch64-linux.h ../../src/gcc/config/initfini-array.h Using host-linux.o for host machine hooks. checking for __cxa_atexit... yes checking whether NLS is requested... yes checking for catalogs to be installed... be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by aarch64-linux-gnu-gcc-13... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... aarch64-linux-gnu-nm checking the name lister (aarch64-linux-gnu-nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for aarch64-linux-gnu-ld option to reload object files... -r checking for aarch64-linux-gnu-objdump... aarch64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for aarch64-linux-gnu-ar... (cached) aarch64-linux-gnu-ar checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking for aarch64-linux-gnu-ranlib... (cached) aarch64-linux-gnu-ranlib checking command to parse aarch64-linux-gnu-nm output from aarch64-linux-gnu-gcc-13 object... ok checking for dlfcn.h... yes checking for objdir... .libs checking if aarch64-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... no checking for aarch64-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if aarch64-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... yes checking if aarch64-linux-gnu-gcc-13 static flag -static works... yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the aarch64-linux-gnu-gcc-13 linker (aarch64-linux-gnu-ld) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... aarch64-linux-gnu-g++-13 -E checking for ld used by aarch64-linux-gnu-g++-13... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking whether the aarch64-linux-gnu-g++-13 linker (aarch64-linux-gnu-ld) supports shared libraries... yes checking for aarch64-linux-gnu-g++-13 option to produce PIC... -fPIC -DPIC checking if aarch64-linux-gnu-g++-13 PIC flag -fPIC -DPIC works... yes checking if aarch64-linux-gnu-g++-13 static flag -static works... yes checking if aarch64-linux-gnu-g++-13 supports -c -o file.o... yes checking if aarch64-linux-gnu-g++-13 supports -c -o file.o... (cached) yes checking whether the aarch64-linux-gnu-g++-13 linker (aarch64-linux-gnu-ld) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for aarch64-linux-gnu-as... /usr/bin/aarch64-linux-gnu-as checking for aarch64-linux-gnu-ld... /usr/bin/aarch64-linux-gnu-ld checking whether we are using gold... no checking whether we are using mold... no checking gold linker with split stack support as non default... no checking what linker to use... /usr/bin/aarch64-linux-gnu-ld checking for aarch64-linux-gnu-nm... /usr/bin/aarch64-linux-gnu-nm checking what nm to use... /usr/bin/aarch64-linux-gnu-nm checking for aarch64-linux-gnu-objdump... /usr/bin/aarch64-linux-gnu-objdump checking what objdump to use... /usr/bin/aarch64-linux-gnu-objdump checking for aarch64-linux-gnu-readelf... /usr/bin/aarch64-linux-gnu-readelf checking what readelf to use... /usr/bin/aarch64-linux-gnu-readelf checking for otool... no checking what otool to use... not found checking for dsymutil... /usr/bin/dsymutil checking assembler flags... checking assembler for .balign and .p2align... yes checking assembler for .p2align with maximum skip... yes checking assembler for .literal16... no checking assembler for working .subsection -1... yes checking assembler for .weak... yes checking assembler for .weakref... yes checking assembler for .nsubspa comdat... no checking assembler for .hidden... yes checking linker for .hidden support... yes checking linker read-only and read-write section mixing... read-write checking for .preinit_array/.init_array/.fini_array support... (cached) yes checking assembler for .sleb128 and .uleb128... yes checking assembler for cfi directives... yes checking assembler for working cfi advance... yes checking assembler for cfi personality directive... yes checking assembler for cfi sections directive... yes checking assembler for eh_frame optimization... yes checking assembler for section exclude flag... yes checking assembler for section 'R' flag... yes checking assembler for section 'o' flag... yes checking assembler for section merging support... yes checking assembler for COMDAT group support (GNU as)... yes checking assembler for line table is_stmt support... yes checking assembler for line table discriminator support... yes checking assembler for thread-local storage support... yes checking linker -Bstatic/-Bdynamic option... yes checking linker --version-script option... yes checking linker soname option... yes checking linker --demangle support... yes checking linker plugin support... 2 checking assembler for -mabi option... yes checking assembler for -fpic relocs... yes checking assembler for dwarf2 debug_line support... yes checking assembler for buggy dwarf2 .file directive... no checking assembler for dwarf2 debug_view support... yes checking assembler for --gdwarf2 option... yes checking assembler for --gdwarf-5 option... yes checking assembler for assembly of compiler generated 64-bit .debug_line... yes checking assembler for --gdwarf-4 not refusing compiler generated .debug_line... yes checking assembler for --gdwarf-4 with the APP marker... yes checking assembler for working --gdwarf-4/--gdwarf-5 for all sources... yes checking assembler for --debug-prefix-map option... yes checking assembler for compressed debug sections... 2 checking assembler for .lcomm with alignment... no checking for target glibc version... 2.39 checking assembler for tolerance to line number 0... yes checking support for thin archives... yes checking linker PT_GNU_EH_FRAME support... yes checking linker CIEv3 in .eh_frame support... yes checking linker position independent executable support... yes checking linker PIE support with copy reloc... no checking linker EH-compatible garbage collection of sections... yes checking linker EH garbage collection of sections bug... no checking linker for compressed debug sections... 2 checking linker --as-needed support... yes checking linker mapfile support for clearing hardware capabilities... no checking linker --build-id support... yes checking linker *_sol2 emulation support... no checking linker --sysroot support... yes checking __stack_chk_fail in target C library... yes checking sys/sdt.h in the target C library... no checking dl_iterate_phdr in target C library... unknown checking whether to enable maintainer-specific portions of Makefiles... no checking whether to avoid linking multiple front-ends at once... no checking whether to serialize linking of multiple front-ends... no Links are now set up to build a native compiler for aarch64-unknown-linux-gnu. checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... (cached) none required checking for -fPIC -shared... yes gcc_driver_version: 13 checking for -fno-PIE option... yes checking for -no-pie option... yes checking for CET support... no checking linker -z bndplt option... no checking linker --push-state/--pop-state options... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating as config.status: creating collect-ld config.status: creating nm config.status: creating dsymutil config.status: creating Makefile config.status: creating ada/gcc-interface/Makefile config.status: creating ada/Makefile config.status: creating m2/config-make config.status: creating m2/Make-maintainer config.status: creating auto-host.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing gccdepdir commands mkdir -p -- build/.deps mkdir -p -- ada/.deps mkdir -p -- c/.deps mkdir -p -- cp/.deps mkdir -p -- d/.deps mkdir -p -- fortran/.deps mkdir -p -- go/.deps mkdir -p -- jit/.deps mkdir -p -- lto/.deps mkdir -p -- m2/.deps mkdir -p -- objc/.deps mkdir -p -- objcp/.deps mkdir -p -- rust/.deps mkdir -p -- vhdl/.deps mkdir -p -- c-family/.deps mkdir -p -- common/.deps mkdir -p -- analyzer/.deps mkdir -p -- rtl-ssa/.deps config.status: executing default commands mkdir -p -- ./libcc1 Configuring in ./libcc1 make[4]: Entering directory '/<>/builddir/gcc/build/gcc' configure: creating cache ./config.cache checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking target system type... aarch64-unknown-linux-gnu checking for aarch64-linux-gnu-gcc... aarch64-linux-gnu-gcc-13 /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po checking whether the C compiler works... mkdir -p -- po /usr/bin/msgfmt --statistics -o po/be.gmo ../../src/gcc/po/be.po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/da.gmo ../../src/gcc/po/da.po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/de.gmo ../../src/gcc/po/de.po yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... 59 translated messages, 2571 fuzzy translations, 13159 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/el.gmo ../../src/gcc/po/el.po o checking whether we are using the GNU C compiler... yes checking whether aarch64-linux-gnu-gcc-13 accepts -g... yes checking for aarch64-linux-gnu-gcc-13 option to accept ISO C89... 1664 translated messages, 8110 fuzzy translations, 6015 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/es.gmo ../../src/gcc/po/es.po 15789 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/fi.gmo ../../src/gcc/po/fi.po none needed checking whether aarch64-linux-gnu-gcc-13 understands -c and -o together... yes checking how to run the C preprocessor... 40 translated messages, 4808 fuzzy translations, 10941 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po aarch64-linux-gnu-gcc-13 -E /usr/bin/msgfmt --statistics -o po/fr.gmo ../../src/gcc/po/fr.po checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... 2201 translated messages, 10316 fuzzy translations, 3272 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/hr.gmo ../../src/gcc/po/hr.po yes checking for sys/types.h... 8358 translated messages, 5745 fuzzy translations, 1686 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/id.gmo ../../src/gcc/po/id.po yes checking for sys/stat.h... yes 15789 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po checking for stdlib.h... /usr/bin/msgfmt --statistics -o po/ja.gmo ../../src/gcc/po/ja.po 968 translated messages, 14821 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/nl.gmo ../../src/gcc/po/nl.po yes checking for string.h... yes checking for memory.h... yes checking for strings.h... 2766 translated messages, 8274 fuzzy translations, 4749 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/ru.gmo ../../src/gcc/po/ru.po yes checking for inttypes.h... 2191 translated messages, 7044 fuzzy translations, 6554 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/sr.gmo ../../src/gcc/po/sr.po 735 translated messages, 7162 fuzzy translations, 7892 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/sv.gmo ../../src/gcc/po/sv.po yes checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... 15789 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/tr.gmo ../../src/gcc/po/tr.po 2362 translated messages, 7949 fuzzy translations, 5478 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/uk.gmo ../../src/gcc/po/uk.po 9811 translated messages, 3769 fuzzy translations, 2209 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po yes /usr/bin/msgfmt --statistics -o po/vi.gmo ../../src/gcc/po/vi.po checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a thread-safe mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking for style of include used by /usr/bin/make... GNU checking whether /usr/bin/make supports nested variables... yes checking dependency style of aarch64-linux-gnu-gcc-13... gcc3 checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by aarch64-linux-gnu-gcc-13... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... aarch64-linux-gnu-nm checking the name lister (aarch64-linux-gnu-nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for aarch64-linux-gnu-ld option to reload object files... -r checking for aarch64-linux-gnu-objdump... aarch64-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking command to parse aarch64-linux-gnu-nm output from aarch64-linux-gnu-gcc-13 object... 2208 translated messages, 8499 fuzzy translations, 5082 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po 4111 translated messages, 3258 fuzzy translations, 8420 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_CN.gmo ../../src/gcc/po/zh_CN.po /usr/bin/msgfmt --statistics -o po/zh_TW.gmo ../../src/gcc/po/zh_TW.po ok checking for dlfcn.h... 15789 translated messages. TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh config.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/aarch64/biarchlp64.h config/aarch64/aarch64.h config/elfos.h config/gnu-user.h config/linux.h config/glibc-stdint.h config/aarch64/aarch64-elf.h config/aarch64/aarch64-errata.h config/aarch64/aarch64-linux.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0 TARGET_DEFAULT_ASYNC_UNWIND_TABLES=1 TARGET_FIX_ERR_A53_843419_DEFAULT=1" \ /bin/bash ../../src/gcc/mkconfig.sh tm.h TARGET_CPU_DEFAULT="" \ HEADERS="config/aarch64/aarch64-protos.h config/arm/aarch-common-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_p.h yes checking for objdir... .libs TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh bconfig.h /bin/bash ../../src/gcc/config/aarch64/gentune.sh \ ../../src/gcc/config/aarch64/aarch64-cores.def > \ tmp-aarch64-tune.md echo timestamp > s-aarch64-tune-md LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/rust/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt ../../src/gcc/config/aarch64/aarch64.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt > tmp-optionlist checking if aarch64-linux-gnu-gcc-13 supports -fno-rtti -fno-exceptions... 2166 translated messages, 10645 fuzzy translations, 2978 untranslated messages. 4464 translated messages, 8686 fuzzy translations, 2639 untranslated messages. no checking for aarch64-linux-gnu-gcc-13 option to produce PIC... -fPIC -DPIC checking if aarch64-linux-gnu-gcc-13 PIC flag -fPIC -DPIC works... /bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list echo "#define BUILDING_GCC_MAJOR `echo 13.2.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h echo "#define BUILDING_GCC_MINOR `echo 13.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h echo timestamp > s-gtyp-input yes checking if aarch64-linux-gnu-gcc-13 static flag -static works... TARGET_CPU_DEFAULT="" \ HEADERS="config/aarch64/aarch64-d.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_d.h echo "#define BUILDING_GCC_PATCHLEVEL `echo 13.2.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h echo timestamp > s-bversion gawk -f ../../src/gcc/config/aarch64/check-sve-md.awk \ ../../src/gcc/config/aarch64/aarch64-sve.md if test yes = yes \ || test -n "mabi.lp64=../lib:aarch64-linux-gnu mabi.ilp32=../libilp32:aarch64-linux-gnu_ilp32"; then \ /bin/bash ../../src/gcc/genmultilib \ " mabi=lp64" \ "lp64" \ "" \ "" \ "" \ "" \ "mabi.lp64=../lib:aarch64-linux-gnu mabi.ilp32=../libilp32:aarch64-linux-gnu_ilp32" \ "" \ "" \ "" \ "yes" \ > tmp-mlib.h; \ else \ /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "aarch64-linux-gnu" '' no \ > tmp-mlib.h; \ fi gawk -f ../../src/gcc/config/aarch64/check-sve-md.awk \ ../../src/gcc/config/aarch64/aarch64-sve2.md echo timestamp > s-check-sve-md lsf="../../src/gcc/lto/lang-specs.h ../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h /bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h echo timestamp > s-specs rm -f tmp-all-tree.def echo '#include "tree.def"' > tmp-all-tree.def echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def echo '#include "c-family/c-common.def"' >> tmp-all-tree.def ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def /bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def echo timestamp > s-alltree gawk -f ../../src/gcc/gen-pass-instances.awk \ ../../src/gcc/passes.def ../../src/gcc/config/aarch64/aarch64-passes.def > pass-instances.def /bin/bash ../../src/gcc/config/nvptx/gen-omp-device-properties.sh \ "../../src/gcc/config/nvptx" > omp-device-properties-nvptx yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... echo "aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc " > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options /bin/bash ../../src/gcc/config/aarch64/geniterators.sh \ ../../src/gcc/config/aarch64/iterators.md > \ aarch64-builtin-iterators.h yes checking if aarch64-linux-gnu-gcc-13 supports -c -o file.o... (cached) yes checking whether the aarch64-linux-gnu-gcc-13 linker (aarch64-linux-gnu-ld) supports shared libraries... /bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.cc echo timestamp > s-mlib aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vhdl/grt-cdynload.o -MT vhdl/grt-cdynload.o -MMD -MP -MF vhdl/.deps/grt-cdynload.TPo ../../src/gcc/vhdl/grt-cdynload.cc yes checking whether -lc should be explicitly linked in... echo "-- DO NOT EDIT" > tmp-dpaths.ads no checking dynamic linker characteristics... echo "-- This file is created by Makefile" >> tmp-dpaths.ads echo "package Default_Paths is" >> tmp-dpaths.ads echo " -- Accept long lines." >> tmp-dpaths.ads echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads suffix=`expr @"/usr/lib/ghdl/gcc/libexec" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/libexec"; fi; \ echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ echo " \"$suffix/gcc/aarch64-linux-gnu/13/ghdl1\";" >> tmp-dpaths.ads echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads echo " LibDir_Suffix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads echo " LibGhdlDir_Suffix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc/vhdl\";" >> tmp-dpaths.ads echo " LibNativeDir_Suffix : constant String :=" >> tmp-dpaths.ads echo " \"lib/aarch64-linux-gnu\";" >> tmp-dpaths.ads echo " IncDir_Suffix : constant String :=" >> tmp-dpaths.ads echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo " \".so\";" >> tmp-dpaths.ads echo " Executable_Extension : constant String :=">> tmp-dpaths.ads echo " \"\";" >> tmp-dpaths.ads echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads echo " Backend_Version : constant String :=" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-nm.cc echo " \"13.2.0\";" >> tmp-dpaths.ads echo "end Default_Paths;" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-ranlib.cc TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ /bin/bash ../../src/gcc/mkconfig.sh tconfig.h ../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... no (echo "@set version-GCC 13.2.0"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT checking whether we are using the GNU C++ compiler... echo @set srcdir `echo /<>/builddir/gcc/build/gcc/../../src/gcc | sed -e 's|\\([@{}]\\)|@\\1|g'` >> gcc-vers.texiT rm -f doc/ghdl.info* if [ -n "(Ubuntu 13.2.0-23ubuntu3) " ]; then \ echo "@set VERSION_PACKAGE (Ubuntu 13.2.0-23ubuntu3) " >> gcc-vers.texiT; \ fi makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi echo "@set BUGURL @uref{file:///usr/share/doc/gcc-13/README.Bugs}" >> gcc-vers.texiT ( \ echo '@set fncpp aarch64-linux-gnu-cpp-13'; \ echo '@set fngcc aarch64-linux-gnu-gcc-13'; \ echo '@set fngcov aarch64-linux-gnu-gcc-13'; \ echo '@set fngcovtool aarch64-linux-gnu-gcc-13'; \ echo '@set fngcovdump aarch64-linux-gnu-gcc-13'; \ echo '@set fngxx aarch64-linux-gnu-g++-13'; \ echo '@set fngccint aarch64-linux-gnu-gccint-13'; \ echo '@set fngccinstall aarch64-linux-gnu-gccinstall-13'; \ echo '@set fncppint aarch64-linux-gnu-cppinternals-13'; \ echo '@set fngfortran aarch64-linux-gnu-gfortran-13'; \ echo '@set fngccgo aarch64-linux-gnu-gccgo-13'; \ ) >> gcc-vers.texiT mv -f gcc-vers.texiT gcc-vers.texi echo timestamp > cpp.pod yes checking whether aarch64-linux-gnu-g++-13 accepts -g... perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-13/README.Bugs}" ../../src/gcc/doc/cpp.texi > cpp.pod echo timestamp > gcc.pod perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod yes checking dependency style of aarch64-linux-gnu-g++-13... gcc3 checking how to run the C++ preprocessor... aarch64-linux-gnu-g++-13 -E checking for ld used by aarch64-linux-gnu-g++-13... aarch64-linux-gnu-ld checking if the linker (aarch64-linux-gnu-ld) is GNU ld... yes checking whether the aarch64-linux-gnu-g++-13 linker (aarch64-linux-gnu-ld) supports shared libraries... yes checking for aarch64-linux-gnu-g++-13 option to produce PIC... -fPIC -DPIC checking if aarch64-linux-gnu-g++-13 PIC flag -fPIC -DPIC works... yes checking if aarch64-linux-gnu-g++-13 static flag -static works... yes checking if aarch64-linux-gnu-g++-13 supports -c -o file.o... yes checking if aarch64-linux-gnu-g++-13 supports -c -o file.o... (cached) yes checking whether the aarch64-linux-gnu-g++-13 linker (aarch64-linux-gnu-ld) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for CET support... no checking whether basename is declared... /bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist echo timestamp > s-options aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.cc yes checking whether aarch64-linux-gnu-gcc-13 supports -W... aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/sort.o ../../src/gcc/sort.cc yes checking whether aarch64-linux-gnu-gcc-13 supports -Wall... yes checking for aarch64-linux-gnu-objdump... /usr/bin/aarch64-linux-gnu-objdump checking what objdump to use... /usr/bin/aarch64-linux-gnu-objdump checking for socket libraries... checking for connect... yes checking for gethostbyname... yes checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... none required checking for -fPIC -shared... aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genhooks.o ../../src/gcc/genhooks.cc ghdl.texi:7257: warning: @footnote should not appear on @deffn line ghdl.texi:7350: warning: @footnote should not appear on @deffn line ghdl.texi:7364: warning: @footnote should not appear on @deffn line ghdl.texi:7371: warning: @footnote should not appear on @deffn line ghdl.texi:7392: warning: @footnote should not appear on @deffn line ghdl.texi:7399: warning: @footnote should not appear on @deffn line ghdl.texi:7983: warning: @footnote should not appear on @deffn line ghdl.texi:8058: warning: @footnote should not appear on @deffn line ghdl.texi:8133: warning: @footnote should not appear on @deffn line ghdl.texi:8208: warning: @footnote should not appear on @deffn line ghdl.texi:8277: warning: @footnote should not appear on @deffn line ghdl.texi:8409: warning: @footnote should not appear on @deffn line ghdl.texi:8409: warning: @footnote should not appear on @deffn line ghdl.texi:8420: warning: @footnote should not appear on @deffn line ghdl.texi:8431: warning: @footnote should not appear on @deffn line ghdl.texi:8442: warning: @footnote should not appear on @deffn line ghdl.texi:8545: warning: @footnote should not appear on @deffn line ghdl.texi:8545: warning: @footnote should not appear on @deffn line ghdl.texi:8556: warning: @footnote should not appear on @deffn line ghdl.texi:8758: warning: @footnote should not appear on @deffn line ghdl.texi:8829: warning: @footnote should not appear on @deffn line ghdl.texi:8900: warning: @footnote should not appear on @deffn line ghdl.texi:8983: warning: @footnote should not appear on @deffn line ghdl.texi:8983: warning: @footnote should not appear on @deffn line ghdl.texi:8994: warning: @footnote should not appear on @deffn line ghdl.texi:8994: warning: @footnote should not appear on @deffn line ghdl.texi:9005: warning: @footnote should not appear on @deffn line ghdl.texi:9101: warning: @footnote should not appear on @deffn line ghdl.texi:9101: warning: @footnote should not appear on @deffn line ghdl.texi:9112: warning: @footnote should not appear on @deffn line ghdl.texi:9112: warning: @footnote should not appear on @deffn line ghdl.texi:9123: warning: @footnote should not appear on @deffn line ghdl.texi:9213: warning: @footnote should not appear on @deffn line ghdl.texi:9213: warning: @footnote should not appear on @deffn line ghdl.texi:9224: warning: @footnote should not appear on @deffn line ghdl.texi:9224: warning: @footnote should not appear on @deffn line ghdl.texi:9235: warning: @footnote should not appear on @deffn line ghdl.texi:9319: warning: @footnote should not appear on @deffn line ghdl.texi:9319: warning: @footnote should not appear on @deffn line ghdl.texi:9330: warning: @footnote should not appear on @deffn line ghdl.texi:9330: warning: @footnote should not appear on @deffn line ghdl.texi:9341: warning: @footnote should not appear on @deffn line ghdl.texi:9341: warning: @footnote should not appear on @deffn line ghdl.texi:9352: warning: @footnote should not appear on @deffn line ghdl.texi:9449: warning: @footnote should not appear on @deffn line ghdl.texi:9449: warning: @footnote should not appear on @deffn line ghdl.texi:9460: warning: @footnote should not appear on @deffn line ghdl.texi:9460: warning: @footnote should not appear on @deffn line ghdl.texi:9471: warning: @footnote should not appear on @deffn line ghdl.texi:9471: warning: @footnote should not appear on @deffn line ghdl.texi:9482: warning: @footnote should not appear on @deffn line ghdl.texi:9579: warning: @footnote should not appear on @deffn line ghdl.texi:9655: warning: @footnote should not appear on @deffn line ghdl.texi:9725: warning: @footnote should not appear on @deffn line ghdl.texi:9801: warning: @footnote should not appear on @deffn line ghdl.texi:9801: warning: @footnote should not appear on @deffn line ghdl.texi:9812: warning: @footnote should not appear on @deffn line ghdl.texi:9812: warning: @footnote should not appear on @deffn line ghdl.texi:9823: warning: @footnote should not appear on @deffn line ghdl.texi:9895: warning: @footnote should not appear on @deffn line ghdl.texi:9964: warning: @footnote should not appear on @deffn line ghdl.texi:10051: warning: @footnote should not appear on @deffn line ghdl.texi:10051: warning: @footnote should not appear on @deffn line ghdl.texi:10062: warning: @footnote should not appear on @deffn line ghdl.texi:10062: warning: @footnote should not appear on @deffn line ghdl.texi:10073: warning: @footnote should not appear on @deffn line ghdl.texi:10168: warning: @footnote should not appear on @deffn line ghdl.texi:10168: warning: @footnote should not appear on @deffn line ghdl.texi:10179: warning: @footnote should not appear on @deffn line ghdl.texi:10179: warning: @footnote should not appear on @deffn line ghdl.texi:10190: warning: @footnote should not appear on @deffn line ghdl.texi:10285: warning: @footnote should not appear on @deffn line ghdl.texi:10285: warning: @footnote should not appear on @deffn line ghdl.texi:10296: warning: @footnote should not appear on @deffn line ghdl.texi:10296: warning: @footnote should not appear on @deffn line ghdl.texi:10307: warning: @footnote should not appear on @deffn line ghdl.texi:10403: warning: @footnote should not appear on @deffn line ghdl.texi:10403: warning: @footnote should not appear on @deffn line ghdl.texi:10414: warning: @footnote should not appear on @deffn line ghdl.texi:10414: warning: @footnote should not appear on @deffn line ghdl.texi:10425: warning: @footnote should not appear on @deffn line ghdl.texi:10503: warning: @footnote should not appear on @deffn line ghdl.texi:10573: warning: @footnote should not appear on @deffn line ghdl.texi:10573: warning: @footnote should not appear on @deffn line ghdl.texi:10584: warning: @footnote should not appear on @deffn line ghdl.texi:10584: warning: @footnote should not appear on @deffn line ghdl.texi:10595: warning: @footnote should not appear on @deffn line ghdl.texi:10679: warning: @footnote should not appear on @deffn line ghdl.texi:10679: warning: @footnote should not appear on @deffn line ghdl.texi:10690: warning: @footnote should not appear on @deffn line ghdl.texi:10690: warning: @footnote should not appear on @deffn line ghdl.texi:10701: warning: @footnote should not appear on @deffn line ghdl.texi:10791: warning: @footnote should not appear on @deffn line ghdl.texi:10791: warning: @footnote should not appear on @deffn line ghdl.texi:10802: warning: @footnote should not appear on @deffn line ghdl.texi:10802: warning: @footnote should not appear on @deffn line ghdl.texi:10813: warning: @footnote should not appear on @deffn line ghdl.texi:10977: warning: @footnote should not appear on @deffn line ghdl.texi:11035: warning: @footnote should not appear on @deffn line ghdl.texi:11093: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11187: warning: @footnote should not appear on @deffn line ghdl.texi:11199: warning: @footnote should not appear on @deffn line ghdl.texi:11199: warning: @footnote should not appear on @deffn line ghdl.texi:11210: warning: @footnote should not appear on @deffn line ghdl.texi:11210: warning: @footnote should not appear on @deffn line ghdl.texi:11221: warning: @footnote should not appear on @deffn line ghdl.texi:11232: warning: @footnote should not appear on @deffn line ghdl.texi:11232: warning: @footnote should not appear on @deffn line ghdl.texi:11243: warning: @footnote should not appear on @deffn line ghdl.texi:11254: warning: @footnote should not appear on @deffn line ghdl.texi:11254: warning: @footnote should not appear on @deffn line ghdl.texi:11265: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11466: warning: @footnote should not appear on @deffn line ghdl.texi:11478: warning: @footnote should not appear on @deffn line ghdl.texi:11478: warning: @footnote should not appear on @deffn line ghdl.texi:11489: warning: @footnote should not appear on @deffn line ghdl.texi:11489: warning: @footnote should not appear on @deffn line ghdl.texi:11500: warning: @footnote should not appear on @deffn line ghdl.texi:11511: warning: @footnote should not appear on @deffn line ghdl.texi:11511: warning: @footnote should not appear on @deffn line ghdl.texi:11522: warning: @footnote should not appear on @deffn line ghdl.texi:11533: warning: @footnote should not appear on @deffn line ghdl.texi:11533: warning: @footnote should not appear on @deffn line ghdl.texi:11544: warning: @footnote should not appear on @deffn line ghdl.texi:11733: warning: @footnote should not appear on @deffn line ghdl.texi:11733: warning: @footnote should not appear on @deffn line ghdl.texi:11744: warning: @footnote should not appear on @deffn line ghdl.texi:11755: warning: @footnote should not appear on @deffn line ghdl.texi:11766: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11869: warning: @footnote should not appear on @deffn line ghdl.texi:11881: warning: @footnote should not appear on @deffn line ghdl.texi:11881: warning: @footnote should not appear on @deffn line ghdl.texi:11892: warning: @footnote should not appear on @deffn line ghdl.texi:11892: warning: @footnote should not appear on @deffn line ghdl.texi:11903: warning: @footnote should not appear on @deffn line ghdl.texi:11914: warning: @footnote should not appear on @deffn line ghdl.texi:11914: warning: @footnote should not appear on @deffn line ghdl.texi:11925: warning: @footnote should not appear on @deffn line ghdl.texi:11936: warning: @footnote should not appear on @deffn line ghdl.texi:11936: warning: @footnote should not appear on @deffn line ghdl.texi:11947: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12139: warning: @footnote should not appear on @deffn line ghdl.texi:12151: warning: @footnote should not appear on @deffn line ghdl.texi:12151: warning: @footnote should not appear on @deffn line ghdl.texi:12162: warning: @footnote should not appear on @deffn line ghdl.texi:12162: warning: @footnote should not appear on @deffn line ghdl.texi:12173: warning: @footnote should not appear on @deffn line ghdl.texi:12184: warning: @footnote should not appear on @deffn line ghdl.texi:12184: warning: @footnote should not appear on @deffn line ghdl.texi:12195: warning: @footnote should not appear on @deffn line ghdl.texi:12206: warning: @footnote should not appear on @deffn line ghdl.texi:12206: warning: @footnote should not appear on @deffn line ghdl.texi:12217: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12403: warning: @footnote should not appear on @deffn line ghdl.texi:12415: warning: @footnote should not appear on @deffn line ghdl.texi:12415: warning: @footnote should not appear on @deffn line ghdl.texi:12426: warning: @footnote should not appear on @deffn line ghdl.texi:12426: warning: @footnote should not appear on @deffn line ghdl.texi:12437: warning: @footnote should not appear on @deffn line ghdl.texi:12448: warning: @footnote should not appear on @deffn line ghdl.texi:12448: warning: @footnote should not appear on @deffn line ghdl.texi:12459: warning: @footnote should not appear on @deffn line ghdl.texi:12470: warning: @footnote should not appear on @deffn line ghdl.texi:12470: warning: @footnote should not appear on @deffn line ghdl.texi:12481: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12645: warning: @footnote should not appear on @deffn line ghdl.texi:12657: warning: @footnote should not appear on @deffn line ghdl.texi:12657: warning: @footnote should not appear on @deffn line ghdl.texi:12668: warning: @footnote should not appear on @deffn line ghdl.texi:12668: warning: @footnote should not appear on @deffn line ghdl.texi:12679: warning: @footnote should not appear on @deffn line ghdl.texi:12690: warning: @footnote should not appear on @deffn line ghdl.texi:12690: warning: @footnote should not appear on @deffn line ghdl.texi:12701: warning: @footnote should not appear on @deffn line ghdl.texi:12712: warning: @footnote should not appear on @deffn line ghdl.texi:12712: warning: @footnote should not appear on @deffn line ghdl.texi:12723: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12891: warning: @footnote should not appear on @deffn line ghdl.texi:12903: warning: @footnote should not appear on @deffn line ghdl.texi:12903: warning: @footnote should not appear on @deffn line ghdl.texi:12914: warning: @footnote should not appear on @deffn line ghdl.texi:12914: warning: @footnote should not appear on @deffn line ghdl.texi:12925: warning: @footnote should not appear on @deffn line ghdl.texi:12936: warning: @footnote should not appear on @deffn line ghdl.texi:12936: warning: @footnote should not appear on @deffn line ghdl.texi:12947: warning: @footnote should not appear on @deffn line ghdl.texi:12958: warning: @footnote should not appear on @deffn line ghdl.texi:12958: warning: @footnote should not appear on @deffn line ghdl.texi:12969: warning: @footnote should not appear on @deffn line ghdl.texi:13363: warning: @footnote should not appear on @deffn line ghdl.texi:13432: warning: @footnote should not appear on @deffn line ghdl.texi:13501: warning: @footnote should not appear on @deffn line ghdl.texi:13570: warning: @footnote should not appear on @deffn line ghdl.texi:13639: warning: @footnote should not appear on @deffn line ghdl.texi:13708: warning: @footnote should not appear on @deffn line ghdl.texi:13777: warning: @footnote should not appear on @deffn line ghdl.texi:13841: warning: @footnote should not appear on @deffn line ghdl.texi:13916: warning: @footnote should not appear on @deffn line ghdl.texi:13991: warning: @footnote should not appear on @deffn line ghdl.texi:14066: warning: @footnote should not appear on @deffn line ghdl.texi:14141: warning: @footnote should not appear on @deffn line ghdl.texi:14216: warning: @footnote should not appear on @deffn line ghdl.texi:14291: warning: @footnote should not appear on @deffn line ghdl.texi:14366: warning: @footnote should not appear on @deffn line ghdl.texi:14441: warning: @footnote should not appear on @deffn line ghdl.texi:14516: warning: @footnote should not appear on @deffn line ghdl.texi:14591: warning: @footnote should not appear on @deffn line ghdl.texi:14666: warning: @footnote should not appear on @deffn line ghdl.texi:14741: warning: @footnote should not appear on @deffn line ghdl.texi:14816: warning: @footnote should not appear on @deffn line ghdl.texi:14891: warning: @footnote should not appear on @deffn line ghdl.texi:14966: warning: @footnote should not appear on @deffn line ghdl.texi:15041: warning: @footnote should not appear on @deffn line ghdl.texi:15116: warning: @footnote should not appear on @deffn line ghdl.texi:15191: warning: @footnote should not appear on @deffn line ghdl.texi:15266: warning: @footnote should not appear on @deffn line ghdl.texi:15341: warning: @footnote should not appear on @deffn line ghdl.texi:15416: warning: @footnote should not appear on @deffn line ghdl.texi:15491: warning: @footnote should not appear on @deffn line ghdl.texi:15566: warning: @footnote should not appear on @deffn line ghdl.texi:15641: warning: @footnote should not appear on @deffn line ghdl.texi:15716: warning: @footnote should not appear on @deffn line ghdl.texi:15791: warning: @footnote should not appear on @deffn line ghdl.texi:15866: warning: @footnote should not appear on @deffn line ghdl.texi:15941: warning: @footnote should not appear on @deffn line ghdl.texi:16016: warning: @footnote should not appear on @deffn line ghdl.texi:16091: warning: @footnote should not appear on @deffn line ghdl.texi:16166: warning: @footnote should not appear on @deffn line ghdl.texi:16241: warning: @footnote should not appear on @deffn line ghdl.texi:16316: warning: @footnote should not appear on @deffn line ghdl.texi:16391: warning: @footnote should not appear on @deffn line ghdl.texi:16460: warning: @footnote should not appear on @deffn line ghdl.texi:16529: warning: @footnote should not appear on @deffn line ghdl.texi:16598: warning: @footnote should not appear on @deffn line ghdl.texi:16774: warning: @footnote should not appear on @deffn line ghdl.texi:16774: warning: @footnote should not appear on @deffn line ghdl.texi:16785: warning: @footnote should not appear on @deffn line ghdl.texi:16785: warning: @footnote should not appear on @deffn line ghdl.texi:16796: warning: @footnote should not appear on @deffn line ghdl.texi:16796: warning: @footnote should not appear on @deffn line ghdl.texi:16807: warning: @footnote should not appear on @deffn line ghdl.texi:16892: warning: @footnote should not appear on @deffn line ghdl.texi:16892: warning: @footnote should not appear on @deffn line ghdl.texi:16903: warning: @footnote should not appear on @deffn line ghdl.texi:16914: warning: @footnote should not appear on @deffn line ghdl.texi:16925: warning: @footnote should not appear on @deffn line ghdl.texi:17003: warning: @footnote should not appear on @deffn line ghdl.texi:17003: warning: @footnote should not appear on @deffn line ghdl.texi:17073: warning: @footnote should not appear on @deffn line ghdl.texi:17073: warning: @footnote should not appear on @deffn line ghdl.texi:17084: warning: @footnote should not appear on @deffn line ghdl.texi:17095: warning: @footnote should not appear on @deffn line ghdl.texi:17106: warning: @footnote should not appear on @deffn line ghdl.texi:17190: warning: @footnote should not appear on @deffn line ghdl.texi:17190: warning: @footnote should not appear on @deffn line ghdl.texi:17201: warning: @footnote should not appear on @deffn line ghdl.texi:17212: warning: @footnote should not appear on @deffn line ghdl.texi:17223: warning: @footnote should not appear on @deffn line ghdl.texi:17326: warning: @footnote should not appear on @deffn line ghdl.texi:17326: warning: @footnote should not appear on @deffn line ghdl.texi:17337: warning: @footnote should not appear on @deffn line ghdl.texi:17337: warning: @footnote should not appear on @deffn line ghdl.texi:17348: warning: @footnote should not appear on @deffn line ghdl.texi:17348: warning: @footnote should not appear on @deffn line ghdl.texi:17359: warning: @footnote should not appear on @deffn line ghdl.texi:17462: warning: @footnote should not appear on @deffn line ghdl.texi:17462: warning: @footnote should not appear on @deffn line ghdl.texi:17473: warning: @footnote should not appear on @deffn line ghdl.texi:17473: warning: @footnote should not appear on @deffn line ghdl.texi:17484: warning: @footnote should not appear on @deffn line ghdl.texi:17484: warning: @footnote should not appear on @deffn line ghdl.texi:17495: warning: @footnote should not appear on @deffn line ghdl.texi:17598: warning: @footnote should not appear on @deffn line ghdl.texi:17598: warning: @footnote should not appear on @deffn line ghdl.texi:17609: warning: @footnote should not appear on @deffn line ghdl.texi:17609: warning: @footnote should not appear on @deffn line ghdl.texi:17620: warning: @footnote should not appear on @deffn line ghdl.texi:17620: warning: @footnote should not appear on @deffn line ghdl.texi:17631: warning: @footnote should not appear on @deffn line ghdl.texi:17734: warning: @footnote should not appear on @deffn line ghdl.texi:17734: warning: @footnote should not appear on @deffn line ghdl.texi:17745: warning: @footnote should not appear on @deffn line ghdl.texi:17745: warning: @footnote should not appear on @deffn line ghdl.texi:17756: warning: @footnote should not appear on @deffn line ghdl.texi:17756: warning: @footnote should not appear on @deffn line ghdl.texi:17767: warning: @footnote should not appear on @deffn line ghdl.texi:17858: warning: @footnote should not appear on @deffn line ghdl.texi:17858: warning: @footnote should not appear on @deffn line ghdl.texi:17869: warning: @footnote should not appear on @deffn line ghdl.texi:17869: warning: @footnote should not appear on @deffn line ghdl.texi:17880: warning: @footnote should not appear on @deffn line ghdl.texi:17880: warning: @footnote should not appear on @deffn line ghdl.texi:17891: warning: @footnote should not appear on @deffn line ghdl.texi:18029: warning: @footnote should not appear on @deffn line ghdl.texi:18098: warning: @footnote should not appear on @deffn line ghdl.texi:18167: warning: @footnote should not appear on @deffn line ghdl.texi:18236: warning: @footnote should not appear on @deffn line ghdl.texi:18311: warning: @footnote should not appear on @deffn line ghdl.texi:18386: warning: @footnote should not appear on @deffn line ghdl.texi:18455: warning: @footnote should not appear on @deffn line ghdl.texi:18524: warning: @footnote should not appear on @deffn line ghdl.texi:18655: warning: @footnote should not appear on @deffn line ghdl.texi:18655: warning: @footnote should not appear on @deffn line ghdl.texi:18666: warning: @footnote should not appear on @deffn line ghdl.texi:18677: warning: @footnote should not appear on @deffn line ghdl.texi:18688: warning: @footnote should not appear on @deffn line ghdl.texi:18842: warning: @footnote should not appear on @deffn line ghdl.texi:18855: warning: @footnote should not appear on @deffn line ghdl.texi:18866: warning: @footnote should not appear on @deffn line ghdl.texi:18877: warning: @footnote should not appear on @deffn line ghdl.texi:18888: warning: @footnote should not appear on @deffn line ghdl.texi:18888: warning: @footnote should not appear on @deffn line ghdl.texi:18899: warning: @footnote should not appear on @deffn line ghdl.texi:18987: warning: @footnote should not appear on @deffn line ghdl.texi:19000: warning: @footnote should not appear on @deffn line ghdl.texi:19011: warning: @footnote should not appear on @deffn line ghdl.texi:19022: warning: @footnote should not appear on @deffn line ghdl.texi:19033: warning: @footnote should not appear on @deffn line ghdl.texi:19033: warning: @footnote should not appear on @deffn line ghdl.texi:19044: warning: @footnote should not appear on @deffn line ghdl.texi:19126: warning: @footnote should not appear on @deffn line ghdl.texi:19139: warning: @footnote should not appear on @deffn line ghdl.texi:19150: warning: @footnote should not appear on @deffn line ghdl.texi:19161: warning: @footnote should not appear on @deffn line ghdl.texi:19172: warning: @footnote should not appear on @deffn line ghdl.texi:19172: warning: @footnote should not appear on @deffn line ghdl.texi:19183: warning: @footnote should not appear on @deffn line ghdl.texi:19265: warning: @footnote should not appear on @deffn line ghdl.texi:19278: warning: @footnote should not appear on @deffn line ghdl.texi:19289: warning: @footnote should not appear on @deffn line ghdl.texi:19300: warning: @footnote should not appear on @deffn line ghdl.texi:19311: warning: @footnote should not appear on @deffn line ghdl.texi:19311: warning: @footnote should not appear on @deffn line ghdl.texi:19322: warning: @footnote should not appear on @deffn line ghdl.texi:19410: warning: @footnote should not appear on @deffn line ghdl.texi:19423: warning: @footnote should not appear on @deffn line ghdl.texi:19434: warning: @footnote should not appear on @deffn line ghdl.texi:19445: warning: @footnote should not appear on @deffn line ghdl.texi:19456: warning: @footnote should not appear on @deffn line ghdl.texi:19456: warning: @footnote should not appear on @deffn line ghdl.texi:19467: warning: @footnote should not appear on @deffn line ghdl.texi:19555: warning: @footnote should not appear on @deffn line ghdl.texi:19568: warning: @footnote should not appear on @deffn line ghdl.texi:19579: warning: @footnote should not appear on @deffn line ghdl.texi:19590: warning: @footnote should not appear on @deffn line ghdl.texi:19601: warning: @footnote should not appear on @deffn line ghdl.texi:19601: warning: @footnote should not appear on @deffn line ghdl.texi:19612: warning: @footnote should not appear on @deffn line ghdl.texi:19693: warning: @footnote should not appear on @deffn line ghdl.texi:19706: warning: @footnote should not appear on @deffn line ghdl.texi:19717: warning: @footnote should not appear on @deffn line ghdl.texi:19728: warning: @footnote should not appear on @deffn line ghdl.texi:19739: warning: @footnote should not appear on @deffn line ghdl.texi:19739: warning: @footnote should not appear on @deffn line ghdl.texi:19750: warning: @footnote should not appear on @deffn line ghdl.texi:19816: warning: @footnote should not appear on @deffn line ghdl.texi:19829: warning: @footnote should not appear on @deffn line ghdl.texi:19840: warning: @footnote should not appear on @deffn line ghdl.texi:19851: warning: @footnote should not appear on @deffn line ghdl.texi:19862: warning: @footnote should not appear on @deffn line ghdl.texi:19862: warning: @footnote should not appear on @deffn line ghdl.texi:19873: warning: @footnote should not appear on @deffn line ghdl.texi:20007: warning: @footnote should not appear on @deffn line ghdl.texi:20007: warning: @footnote should not appear on @deffn line ghdl.texi:20014: warning: @footnote should not appear on @deffn line ghdl.texi:20014: warning: @footnote should not appear on @deffn line ghdl.texi:20014: warning: @footnote should not appear on @deffn line ghdl.texi:20021: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20123: warning: @footnote should not appear on @deffn line ghdl.texi:20130: warning: @footnote should not appear on @deffn line ghdl.texi:20130: warning: @footnote should not appear on @deffn line ghdl.texi:20130: warning: @footnote should not appear on @deffn line ghdl.texi:20137: warning: @footnote should not appear on @deffn line ghdl.texi:20137: warning: @footnote should not appear on @deffn line ghdl.texi:20137: warning: @footnote should not appear on @deffn line ghdl.texi:20144: warning: @footnote should not appear on @deffn line ghdl.texi:20144: warning: @footnote should not appear on @deffn line ghdl.texi:20144: warning: @footnote should not appear on @deffn line ghdl.texi:20151: warning: @footnote should not appear on @deffn line ghdl.texi:20162: warning: @footnote should not appear on @deffn line ghdl.texi:20173: warning: @footnote should not appear on @deffn line ghdl.texi:20173: warning: @footnote should not appear on @deffn line ghdl.texi:20173: warning: @footnote should not appear on @deffn line ghdl.texi:20180: warning: @footnote should not appear on @deffn line ghdl.texi:20180: warning: @footnote should not appear on @deffn line ghdl.texi:20180: warning: @footnote should not appear on @deffn line ghdl.texi:20187: warning: @footnote should not appear on @deffn line yes ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20352: warning: @footnote should not appear on @deffn line ghdl.texi:20359: warning: @footnote should not appear on @deffn line ghdl.texi:20359: warning: @footnote should not appear on @deffn line ghdl.texi:20359: warning: @footnote should not appear on @deffn line ghdl.texi:20366: warning: @footnote should not appear on @deffn line ghdl.texi:20366: warning: @footnote should not appear on @deffn line ghdl.texi:20366: warning: @footnote should not appear on @deffn line ghdl.texi:20373: warning: @footnote should not appear on @deffn line ghdl.texi:20373: warning: @footnote should not appear on @deffn line ghdl.texi:20380: warning: @footnote should not appear on @deffn line ghdl.texi:20380: warning: @footnote should not appear on @deffn line ghdl.texi:20391: warning: @footnote should not appear on @deffn line ghdl.texi:20391: warning: @footnote should not appear on @deffn line ghdl.texi:20391: warning: @footnote should not appear on @deffn line ghdl.texi:20398: warning: @footnote should not appear on @deffn line ghdl.texi:20398: warning: @footnote should not appear on @deffn line ghdl.texi:20398: warning: @footnote should not appear on @deffn line ghdl.texi:20405: warning: @footnote should not appear on @deffn line ghdl.texi:20405: warning: @footnote should not appear on @deffn line ghdl.texi:20405: warning: @footnote should not appear on @deffn line ghdl.texi:20412: warning: @footnote should not appear on @deffn line ghdl.texi:20423: warning: @footnote should not appear on @deffn line ghdl.texi:20423: warning: @footnote should not appear on @deffn line ghdl.texi:20423: warning: @footnote should not appear on @deffn line ghdl.texi:20430: warning: @footnote should not appear on @deffn line ghdl.texi:20430: warning: @footnote should not appear on @deffn line ghdl.texi:20430: warning: @footnote should not appear on @deffn line ghdl.texi:20437: warning: @footnote should not appear on @deffn line ghdl.texi:20437: warning: @footnote should not appear on @deffn line ghdl.texi:20437: warning: @footnote should not appear on @deffn line ghdl.texi:20682: warning: @footnote should not appear on @deffn line ghdl.texi:20682: warning: @footnote should not appear on @deffn line ghdl.texi:20693: warning: @footnote should not appear on @deffn line ghdl.texi:20693: warning: @footnote should not appear on @deffn line ghdl.texi:20704: warning: @footnote should not appear on @deffn line ghdl.texi:20704: warning: @footnote should not appear on @deffn line ghdl.texi:20715: warning: @footnote should not appear on @deffn line ghdl.texi:20806: warning: @footnote should not appear on @deffn line ghdl.texi:20806: warning: @footnote should not appear on @deffn line ghdl.texi:20817: warning: @footnote should not appear on @deffn line ghdl.texi:20817: warning: @footnote should not appear on @deffn line ghdl.texi:20828: warning: @footnote should not appear on @deffn line ghdl.texi:20828: warning: @footnote should not appear on @deffn line ghdl.texi:20839: warning: @footnote should not appear on @deffn line ghdl.texi:20936: warning: @footnote should not appear on @deffn line ghdl.texi:20936: warning: @footnote should not appear on @deffn line ghdl.texi:20947: warning: @footnote should not appear on @deffn line ghdl.texi:20947: warning: @footnote should not appear on @deffn line ghdl.texi:20958: warning: @footnote should not appear on @deffn line ghdl.texi:20958: warning: @footnote should not appear on @deffn line ghdl.texi:20969: warning: @footnote should not appear on @deffn line ghdl.texi:21060: warning: @footnote should not appear on @deffn line ghdl.texi:21060: warning: @footnote should not appear on @deffn line ghdl.texi:21071: warning: @footnote should not appear on @deffn line ghdl.texi:21071: warning: @footnote should not appear on @deffn line ghdl.texi:21082: warning: @footnote should not appear on @deffn line ghdl.texi:21082: warning: @footnote should not appear on @deffn line ghdl.texi:21093: warning: @footnote should not appear on @deffn line ghdl.texi:21190: warning: @footnote should not appear on @deffn line ghdl.texi:21190: warning: @footnote should not appear on @deffn line ghdl.texi:21201: warning: @footnote should not appear on @deffn line ghdl.texi:21201: warning: @footnote should not appear on @deffn line ghdl.texi:21212: warning: @footnote should not appear on @deffn line ghdl.texi:21212: warning: @footnote should not appear on @deffn line ghdl.texi:21223: warning: @footnote should not appear on @deffn line ghdl.texi:21314: warning: @footnote should not appear on @deffn line ghdl.texi:21314: warning: @footnote should not appear on @deffn line ghdl.texi:21325: warning: @footnote should not appear on @deffn line ghdl.texi:21325: warning: @footnote should not appear on @deffn line ghdl.texi:21336: warning: @footnote should not appear on @deffn line ghdl.texi:21336: warning: @footnote should not appear on @deffn line ghdl.texi:21347: warning: @footnote should not appear on @deffn line checking for socketpair... ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21496: warning: @footnote should not appear on @deffn line ghdl.texi:21508: warning: @footnote should not appear on @deffn line ghdl.texi:21508: warning: @footnote should not appear on @deffn line ghdl.texi:21519: warning: @footnote should not appear on @deffn line ghdl.texi:21519: warning: @footnote should not appear on @deffn line ghdl.texi:21530: warning: @footnote should not appear on @deffn line ghdl.texi:21541: warning: @footnote should not appear on @deffn line ghdl.texi:21541: warning: @footnote should not appear on @deffn line ghdl.texi:21552: warning: @footnote should not appear on @deffn line ghdl.texi:21563: warning: @footnote should not appear on @deffn line ghdl.texi:21563: warning: @footnote should not appear on @deffn line ghdl.texi:21574: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21729: warning: @footnote should not appear on @deffn line ghdl.texi:21741: warning: @footnote should not appear on @deffn line ghdl.texi:21741: warning: @footnote should not appear on @deffn line ghdl.texi:21752: warning: @footnote should not appear on @deffn line ghdl.texi:21752: warning: @footnote should not appear on @deffn line ghdl.texi:21763: warning: @footnote should not appear on @deffn line ghdl.texi:21774: warning: @footnote should not appear on @deffn line ghdl.texi:21774: warning: @footnote should not appear on @deffn line ghdl.texi:21785: warning: @footnote should not appear on @deffn line ghdl.texi:21796: warning: @footnote should not appear on @deffn line ghdl.texi:21796: warning: @footnote should not appear on @deffn line ghdl.texi:21807: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21962: warning: @footnote should not appear on @deffn line ghdl.texi:21974: warning: @footnote should not appear on @deffn line ghdl.texi:21974: warning: @footnote should not appear on @deffn line ghdl.texi:21985: warning: @footnote should not appear on @deffn line ghdl.texi:21985: warning: @footnote should not appear on @deffn line ghdl.texi:21996: warning: @footnote should not appear on @deffn line ghdl.texi:22007: warning: @footnote should not appear on @deffn line ghdl.texi:22007: warning: @footnote should not appear on @deffn line ghdl.texi:22018: warning: @footnote should not appear on @deffn line ghdl.texi:22029: warning: @footnote should not appear on @deffn line ghdl.texi:22029: warning: @footnote should not appear on @deffn line ghdl.texi:22040: warning: @footnote should not appear on @deffn line ghdl.texi:22189: warning: @footnote should not appear on @deffn line ghdl.texi:22200: warning: @footnote should not appear on @deffn line ghdl.texi:22211: warning: @footnote should not appear on @deffn line ghdl.texi:22314: warning: @footnote should not appear on @deffn line ghdl.texi:22522: warning: @footnote should not appear on @deffn line ghdl.texi:22586: warning: @footnote should not appear on @deffn line ghdl.texi:22644: warning: @footnote should not appear on @deffn line ghdl.texi:22720: warning: @footnote should not appear on @deffn line ghdl.texi:22720: warning: @footnote should not appear on @deffn line ghdl.texi:22731: warning: @footnote should not appear on @deffn line ghdl.texi:22731: warning: @footnote should not appear on @deffn line ghdl.texi:22742: warning: @footnote should not appear on @deffn line ghdl.texi:22814: warning: @footnote should not appear on @deffn line ghdl.texi:22883: warning: @footnote should not appear on @deffn line ghdl.texi:22958: warning: @footnote should not appear on @deffn line ghdl.texi:23027: warning: @footnote should not appear on @deffn line ghdl.texi:23108: warning: @footnote should not appear on @deffn line ghdl.texi:23108: warning: @footnote should not appear on @deffn line ghdl.texi:23119: warning: @footnote should not appear on @deffn line ghdl.texi:23119: warning: @footnote should not appear on @deffn line ghdl.texi:23130: warning: @footnote should not appear on @deffn line ghdl.texi:23220: warning: @footnote should not appear on @deffn line ghdl.texi:23220: warning: @footnote should not appear on @deffn line ghdl.texi:23231: warning: @footnote should not appear on @deffn line ghdl.texi:23231: warning: @footnote should not appear on @deffn line ghdl.texi:23242: warning: @footnote should not appear on @deffn line ghdl.texi:23326: warning: @footnote should not appear on @deffn line ghdl.texi:23326: warning: @footnote should not appear on @deffn line ghdl.texi:23337: warning: @footnote should not appear on @deffn line ghdl.texi:23337: warning: @footnote should not appear on @deffn line ghdl.texi:23348: warning: @footnote should not appear on @deffn line ghdl.texi:23432: warning: @footnote should not appear on @deffn line ghdl.texi:23432: warning: @footnote should not appear on @deffn line ghdl.texi:23443: warning: @footnote should not appear on @deffn line ghdl.texi:23443: warning: @footnote should not appear on @deffn line ghdl.texi:23454: warning: @footnote should not appear on @deffn line ghdl.texi:23544: warning: @footnote should not appear on @deffn line ghdl.texi:23544: warning: @footnote should not appear on @deffn line ghdl.texi:23555: warning: @footnote should not appear on @deffn line ghdl.texi:23555: warning: @footnote should not appear on @deffn line ghdl.texi:23566: warning: @footnote should not appear on @deffn line ghdl.texi:23650: warning: @footnote should not appear on @deffn line ghdl.texi:23650: warning: @footnote should not appear on @deffn line ghdl.texi:23661: warning: @footnote should not appear on @deffn line ghdl.texi:23661: warning: @footnote should not appear on @deffn line ghdl.texi:23672: warning: @footnote should not appear on @deffn line ghdl.texi:23744: warning: @footnote should not appear on @deffn line ghdl.texi:23744: warning: @footnote should not appear on @deffn line ghdl.texi:23755: warning: @footnote should not appear on @deffn line ghdl.texi:23755: warning: @footnote should not appear on @deffn line ghdl.texi:23766: warning: @footnote should not appear on @deffn line ghdl.texi:23837: warning: @footnote should not appear on @deffn line ghdl.texi:23837: warning: @footnote should not appear on @deffn line ghdl.texi:23848: warning: @footnote should not appear on @deffn line ghdl.texi:23848: warning: @footnote should not appear on @deffn line ghdl.texi:23859: warning: @footnote should not appear on @deffn line ghdl.texi:23949: warning: @footnote should not appear on @deffn line ghdl.texi:23949: warning: @footnote should not appear on @deffn line ghdl.texi:23960: warning: @footnote should not appear on @deffn line ghdl.texi:23960: warning: @footnote should not appear on @deffn line ghdl.texi:23971: warning: @footnote should not appear on @deffn line ghdl.texi:24117: warning: @footnote should not appear on @deffn line ghdl.texi:24117: warning: @footnote should not appear on @deffn line ghdl.texi:24128: warning: @footnote should not appear on @deffn line ghdl.texi:24139: warning: @footnote should not appear on @deffn line ghdl.texi:24150: warning: @footnote should not appear on @deffn line ghdl.texi:24246: warning: @footnote should not appear on @deffn line ghdl.texi:24246: warning: @footnote should not appear on @deffn line ghdl.texi:24257: warning: @footnote should not appear on @deffn line ghdl.texi:24268: warning: @footnote should not appear on @deffn line ghdl.texi:24279: warning: @footnote should not appear on @deffn line ghdl.texi:25512: warning: @footnote should not appear on @deffn line ghdl.texi:25512: warning: @footnote should not appear on @deffn line ghdl.texi:25523: warning: @footnote should not appear on @deffn line ghdl.texi:25534: warning: @footnote should not appear on @deffn line ghdl.texi:25545: warning: @footnote should not appear on @deffn line ghdl.texi:25630: warning: @footnote should not appear on @deffn line ghdl.texi:25630: warning: @footnote should not appear on @deffn line ghdl.texi:25641: warning: @footnote should not appear on @deffn line ghdl.texi:25652: warning: @footnote should not appear on @deffn line ghdl.texi:25663: warning: @footnote should not appear on @deffn line ghdl.texi:25751: warning: @footnote should not appear on @deffn line ghdl.texi:25751: warning: @footnote should not appear on @deffn line ghdl.texi:25762: warning: @footnote should not appear on @deffn line ghdl.texi:25773: warning: @footnote should not appear on @deffn line ghdl.texi:25784: warning: @footnote should not appear on @deffn line ghdl.texi:25884: warning: @footnote should not appear on @deffn line ghdl.texi:25884: warning: @footnote should not appear on @deffn line ghdl.texi:25895: warning: @footnote should not appear on @deffn line ghdl.texi:25906: warning: @footnote should not appear on @deffn line ghdl.texi:25917: warning: @footnote should not appear on @deffn line ghdl.texi:26008: warning: @footnote should not appear on @deffn line ghdl.texi:26008: warning: @footnote should not appear on @deffn line ghdl.texi:26019: warning: @footnote should not appear on @deffn line ghdl.texi:26030: warning: @footnote should not appear on @deffn line ghdl.texi:26041: warning: @footnote should not appear on @deffn line ghdl.texi:26126: warning: @footnote should not appear on @deffn line ghdl.texi:26126: warning: @footnote should not appear on @deffn line ghdl.texi:26137: warning: @footnote should not appear on @deffn line ghdl.texi:26137: warning: @footnote should not appear on @deffn line ghdl.texi:26148: warning: @footnote should not appear on @deffn line ghdl.texi:26226: warning: @footnote should not appear on @deffn line ghdl.texi:26226: warning: @footnote should not appear on @deffn line ghdl.texi:26237: warning: @footnote should not appear on @deffn line ghdl.texi:26248: warning: @footnote should not appear on @deffn line ghdl.texi:26259: warning: @footnote should not appear on @deffn line ghdl.texi:26344: warning: @footnote should not appear on @deffn line ghdl.texi:26344: warning: @footnote should not appear on @deffn line ghdl.texi:26355: warning: @footnote should not appear on @deffn line ghdl.texi:26366: warning: @footnote should not appear on @deffn line ghdl.texi:26377: warning: @footnote should not appear on @deffn line ghdl.texi:26462: warning: @footnote should not appear on @deffn line ghdl.texi:26462: warning: @footnote should not appear on @deffn line ghdl.texi:26473: warning: @footnote should not appear on @deffn line ghdl.texi:26484: warning: @footnote should not appear on @deffn line ghdl.texi:26495: warning: @footnote should not appear on @deffn line ghdl.texi:26580: warning: @footnote should not appear on @deffn line ghdl.texi:26580: warning: @footnote should not appear on @deffn line ghdl.texi:26591: warning: @footnote should not appear on @deffn line ghdl.texi:26602: warning: @footnote should not appear on @deffn line ghdl.texi:26613: warning: @footnote should not appear on @deffn line ghdl.texi:26698: warning: @footnote should not appear on @deffn line ghdl.texi:26698: warning: @footnote should not appear on @deffn line ghdl.texi:26709: warning: @footnote should not appear on @deffn line ghdl.texi:26720: warning: @footnote should not appear on @deffn line ghdl.texi:26731: warning: @footnote should not appear on @deffn line ghdl.texi:26813: warning: @footnote should not appear on @deffn line ghdl.texi:26813: warning: @footnote should not appear on @deffn line ghdl.texi:26824: warning: @footnote should not appear on @deffn line ghdl.texi:26835: warning: @footnote should not appear on @deffn line ghdl.texi:26846: warning: @footnote should not appear on @deffn line ghdl.texi:57036: warning: @footnote should not appear on @item line yes checking for select... rm -f tmp-omp-device-properties.h; \ for kind in kind arch isa; do \ echo 'const char omp_offload_device_'${kind}'[] = ' \ >> tmp-omp-device-properties.h; \ for prop in none nvptx-none=omp-device-properties-nvptx; do \ [ "$prop" = "none" ] && continue; \ tgt=`echo "$prop" | sed 's/=.*$//'`; \ props=`echo "$prop" | sed 's/.*=//'`; \ echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \ sed -n 's/^'${kind}': //p' ${props} \ | sed 's/[[:blank:]]/ /g;s/ */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \ >> tmp-omp-device-properties.h; \ done; \ echo '"";' >> tmp-omp-device-properties.h; \ done; \ /bin/bash ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ omp-device-properties.h echo timestamp > s-omp-device-properties-h aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genchecksum.o ../../src/gcc/genchecksum.cc yes checking for fork... ../../src/gcc/genhooks.cc: In function ‘void emit_documentation(const char*)’: ../../src/gcc/genhooks.cc:120:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 120 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:111:17: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 111 | while (fscanf (f, "%*[^@]"), buf[0] = '\0', | ~~~~~~~^~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:173:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 173 | fscanf (f, "%5[^ \n]", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:179:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 179 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ yes configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-save-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-gen.awk \ -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp aarch64-linux-gnu-cpp-13' -D 'fngcc aarch64-linux-gnu-gcc-13' -D 'fngcov aarch64-linux-gnu-gcc-13' -D 'fngcovtool aarch64-linux-gnu-gcc-13' -D 'fngcovdump aarch64-linux-gnu-gcc-13' -D 'fngxx aarch64-linux-gnu-g++-13' -D 'fngccint aarch64-linux-gnu-gccint-13' -D 'fngccinstall aarch64-linux-gnu-gccinstall-13' -D 'fncppint aarch64-linux-gnu-cppinternals-13' -D 'fngfortran aarch64-linux-gnu-gfortran-13' -D 'fngccgo aarch64-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/aarch64-linux-gnu-cpp-13.info ../../src/gcc/doc/cpp.texi; \ fi config.status: creating Makefile config.status: creating cc1plugin-config.h config.status: executing depfiles commands config.status: executing libtool commands if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp aarch64-linux-gnu-cpp-13' -D 'fngcc aarch64-linux-gnu-gcc-13' -D 'fngcov aarch64-linux-gnu-gcc-13' -D 'fngcovtool aarch64-linux-gnu-gcc-13' -D 'fngcovdump aarch64-linux-gnu-gcc-13' -D 'fngxx aarch64-linux-gnu-g++-13' -D 'fngccint aarch64-linux-gnu-gccint-13' -D 'fngccinstall aarch64-linux-gnu-gccinstall-13' -D 'fncppint aarch64-linux-gnu-cppinternals-13' -D 'fngfortran aarch64-linux-gnu-gfortran-13' -D 'fngccgo aarch64-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/aarch64-linux-gnu-gcc-13.info ../../src/gcc/doc/gcc.texi; \ fi if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp aarch64-linux-gnu-cpp-13' -D 'fngcc aarch64-linux-gnu-gcc-13' -D 'fngcov aarch64-linux-gnu-gcc-13' -D 'fngcovtool aarch64-linux-gnu-gcc-13' -D 'fngcovdump aarch64-linux-gnu-gcc-13' -D 'fngxx aarch64-linux-gnu-g++-13' -D 'fngccint aarch64-linux-gnu-gccint-13' -D 'fngccinstall aarch64-linux-gnu-gccinstall-13' -D 'fncppint aarch64-linux-gnu-cppinternals-13' -D 'fngfortran aarch64-linux-gnu-gfortran-13' -D 'fngccgo aarch64-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/aarch64-linux-gnu-gccinstall-13.info ../../src/gcc/doc/install.texi; \ fi install.texi:260: warning: @anchor should not appear on @item line install.texi:289: warning: @anchor should not appear on @item line install.texi:313: warning: @anchor should not appear on @item line install.texi:1086: warning: @anchor should not appear on @item line install.texi:1107: warning: @anchor should not appear on @item line install.texi:1142: warning: @anchor should not appear on @item line install.texi:1793: warning: @anchor should not appear on @item line if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp aarch64-linux-gnu-cpp-13' -D 'fngcc aarch64-linux-gnu-gcc-13' -D 'fngcov aarch64-linux-gnu-gcc-13' -D 'fngcovtool aarch64-linux-gnu-gcc-13' -D 'fngcovdump aarch64-linux-gnu-gcc-13' -D 'fngxx aarch64-linux-gnu-g++-13' -D 'fngccint aarch64-linux-gnu-gccint-13' -D 'fngccinstall aarch64-linux-gnu-gccinstall-13' -D 'fncppint aarch64-linux-gnu-cppinternals-13' -D 'fngfortran aarch64-linux-gnu-gfortran-13' -D 'fngccgo aarch64-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/aarch64-linux-gnu-cppinternals-13.info ../../src/gcc/doc/cppinternals.texi; \ fi echo timestamp > doc/cpp.1 (pod2man --center="GNU" --release="gcc-13" --date=2024-03-28 --section=1 cpp.pod > doc/cpp.1.T$$ && \ mv -f doc/cpp.1.T$$ doc/cpp.1) || \ (rm -f doc/cpp.1.T$$ && exit 1) echo timestamp > doc/gcc.1 (pod2man --center="GNU" --release="gcc-13" --date=2024-03-28 --section=1 gcc.pod > doc/gcc.1.T$$ && \ mv -f doc/gcc.1.T$$ doc/gcc.1) || \ (rm -f doc/gcc.1.T$$ && exit 1) aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genmodes.o ../../src/gcc/genmodes.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/errors.o ../../src/gcc/errors.cc aarch64-linux-gnu-g++-13 -c -DBASEVER="\"13.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Ubuntu 13.2.0-23ubuntu3) \"" -DBUGURL="\"\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genversion.o ../../src/gcc/genversion.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:135:1: note: here aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/opth-gen.awk \ < optionlist > tmp-options.h /bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h echo timestamp > s-options-h aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengenrtl \ build/gengenrtl.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genhooks \ build/genhooks.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genchecksum \ build/genchecksum.o ../build-aarch64-linux-gnu/libiberty/libiberty.a cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi echo timestamp > s-tm-texi aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmodes \ build/genmodes.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc \ build/genversion.o -o build/genversion build/gengenrtl > tmp-genrtl.h /bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h echo timestamp > s-genrtl-h build/genmodes -m > tmp-min-modes.cc /bin/bash ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc echo timestamp > s-modes-m build/genhooks "Target Hook" \ > tmp-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h echo timestamp > s-target-hooks-def-h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ common/common-target-hooks-def.h echo timestamp > s-common-target-hooks-def-h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h echo timestamp > s-c-target-hooks-def-h build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h build/genmodes > tmp-modes.cc /bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc echo timestamp > s-d-target-hooks-def-h echo timestamp > s-modes build/genmodes -h > tmp-modes.h build/genmodes -i > tmp-modes-inline.h /bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h /bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h echo timestamp > s-modes-h echo timestamp > s-modes-inline-h build/genversion > tmp-version.h /bin/bash ../../src/gcc/../move-if-change tmp-version.h version.h echo timestamp > s-version if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -D 'fncpp aarch64-linux-gnu-cpp-13' -D 'fngcc aarch64-linux-gnu-gcc-13' -D 'fngcov aarch64-linux-gnu-gcc-13' -D 'fngcovtool aarch64-linux-gnu-gcc-13' -D 'fngcovdump aarch64-linux-gnu-gcc-13' -D 'fngxx aarch64-linux-gnu-g++-13' -D 'fngccint aarch64-linux-gnu-gccint-13' -D 'fngccinstall aarch64-linux-gnu-gccinstall-13' -D 'fncppint aarch64-linux-gnu-cppinternals-13' -D 'fngfortran aarch64-linux-gnu-gfortran-13' -D 'fngccgo aarch64-linux-gnu-gccgo-13' -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/aarch64-linux-gnu-gccint-13.info ../../src/gcc/doc/gccint.texi; \ fi aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genmddeps.o ../../src/gcc/genmddeps.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/read-md.o ../../src/gcc/read-md.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype.o ../../src/gcc/gengtype.cc ghdl.texi:606: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text ghdl.texi:57358: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gengtype-state.o ../../src/gcc/gengtype-state.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genconstants.o ../../src/gcc/genconstants.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/min-insn-modes.o min-insn-modes.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/inchash.o ../../src/gcc/inchash.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genenums.o ../../src/gcc/genenums.cc aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmddeps \ build/genmddeps.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconstants \ build/genconstants.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genenums \ build/genenums.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md > tmp-mddeps /bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk echo timestamp > s-mddeps build/genconstants ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ > tmp-constants.h /bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h echo timestamp > s-constants build/genenums ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ > tmp-enums.cc /bin/bash ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc echo timestamp > s-enums aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencheck.o ../../src/gcc/gencheck.cc aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencheck \ build/gencheck.o ../build-aarch64-linux-gnu/libiberty/libiberty.a build/gencheck > tmp-check.h /bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h echo timestamp > s-check aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengtype \ build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-aarch64-linux-gnu/libiberty/libiberty.a build/gengtype \ -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state /bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state build/gengtype \ -r gtype.state echo timestamp > s-gtype aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genopinit.o ../../src/gcc/genopinit.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genattrtab.o ../../src/gcc/genattrtab.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genautomata.o ../../src/gcc/genautomata.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genemit.o ../../src/gcc/genemit.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genextract.o ../../src/gcc/genextract.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genoutput.o ../../src/gcc/genoutput.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genpeep.o ../../src/gcc/genpeep.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genrecog.o ../../src/gcc/genrecog.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genpreds.o ../../src/gcc/genpreds.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/rtl.o ../../src/gcc/rtl.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/read-rtl.o ../../src/gcc/read-rtl.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/ggc-none.o ../../src/gcc/ggc-none.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/vec.o ../../src/gcc/vec.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gensupport.o ../../src/gcc/gensupport.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/print-rtl.o ../../src/gcc/print-rtl.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/hash-table.o ../../src/gcc/hash-table.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genflags.o ../../src/gcc/genflags.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genconditions.o ../../src/gcc/genconditions.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genattr.o ../../src/gcc/genattr.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genattr-common.o ../../src/gcc/genattr-common.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencodes.o ../../src/gcc/gencodes.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genconfig.o ../../src/gcc/genconfig.cc aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.cc aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattrtab \ build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a -lm aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genextract \ build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genoutput \ build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpeep \ build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genrecog \ build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genflags \ build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconditions \ build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr \ build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr-common \ build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencodes \ build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconfig \ build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a build/gencfn-macros -c \ > tmp-case-cfn-macros.h /bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ case-cfn-macros.h build/gencfn-macros -o \ > tmp-cfn-operators.pd echo timestamp > s-case-cfn-macros build/genpreds ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md > tmp-preds.cc /bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd echo timestamp > s-cfn-operators build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md > tmp-preds.h build/genconditions ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md > tmp-condmd.cc /bin/bash ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc echo timestamp > s-preds /bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md > tmp-constrs.h echo timestamp > s-preds-h aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/genmatch.o ../../src/gcc/genmatch.cc /bin/bash ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc echo timestamp > s-conditions /bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h echo timestamp > s-constrs-h aarch64-linux-gnu-g++-13 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 \ -o build/gencondmd.o build/gencondmd.cc aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gentarget-def \ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencondmd \ build/gencondmd.o build/errors.o ../build-aarch64-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md /bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-flags.h build/genattr ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-attr.h build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-attr-common.h /bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h /bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h echo timestamp > s-attr-common echo timestamp > s-attr build/gencodes ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-codes.h build/genconfig ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-config.h /bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h echo timestamp > s-flags build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-target-def.h /bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h echo timestamp > s-config build/genopinit ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md -htmp-opinit.h -ctmp-opinit.cc /bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h echo timestamp > s-codes build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md insn-conditions.md \ -Atmp-attrtab.cc -Dtmp-dfatab.cc -Ltmp-latencytab.cc /bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h echo timestamp > s-target-def build/genautomata ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-automata.cc /bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h /bin/bash ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc echo timestamp > s-opinit build/genemit ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-emit.cc /bin/bash ../../src/gcc/../move-if-change tmp-emit.cc insn-emit.cc echo timestamp > s-emit build/genextract ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-extract.cc /bin/bash ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc echo timestamp > s-extract build/genoutput ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-output.cc /bin/bash ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc echo timestamp > s-output build/genpeep ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-peep.cc /bin/bash ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc echo timestamp > s-peep build/genrecog ../../src/gcc/common.md ../../src/gcc/config/aarch64/aarch64.md \ insn-conditions.md > tmp-recog.cc Statistics for recog: Number of decisions: 58899 longest path: 95 (code: 7317) longest backtrack: 8 (code: 1504) Statistics for split_insns: Number of decisions: 14749 longest path: 58 (code: 2470) longest backtrack: 3 (code: 649) Statistics for peephole2_insns: Number of decisions: 1326 longest path: 66 (code: 235) longest backtrack: 7 (code: 235) Shared 56411 out of 107625 states by creating 8362 new states, saving 48049 /bin/bash ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc echo timestamp > s-recog aarch64-linux-gnu-g++-13 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmatch \ build/genmatch.o ../build-aarch64-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-aarch64-linux-gnu/libiberty/libiberty.a build/genmatch --gimple ../../src/gcc/match.pd \ > tmp-gimple-match.cc GIMPLE decision tree has 5195 leafs, maximum depth 28 and a total number of 22728 nodes removed 3798 duplicate tails build/genmatch --generic ../../src/gcc/match.pd \ > tmp-generic-match.cc GENERIC decision tree has 4134 leafs, maximum depth 18 and a total number of 18440 nodes removed 2832 duplicate tails /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match.cc \ gimple-match.cc /bin/bash ../../src/gcc/../move-if-change tmp-generic-match.cc \ generic-match.cc echo timestamp > s-match aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc /bin/bash ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc echo timestamp > s-automata aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc ../../src/gcc/c/c-typeck.cc: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: ../../src/gcc/c/c-typeck.cc:3798:17: warning: format not a string literal and no format arguments [-Wformat-security] 3798 | error (invalid_func_diag); | ~~~~~~^~~~~~~~~~~~~~~~~~~ ../../src/gcc/c/c-typeck.cc: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: ../../src/gcc/c/c-typeck.cc:4568:16: warning: format not a string literal and no format arguments [-Wformat-security] 4568 | error_at (location, invalid_op_diag); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/c/c-typeck.cc: In function ‘void warning_init(location_t, int, const char*)’: ../../src/gcc/c/c-typeck.cc:6755:23: warning: format not a string literal and no format arguments [-Wformat-security] 6755 | warned = warning_at (exploc, opt, gmsgid); | ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/c/c-typeck.cc: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: ../../src/gcc/c/c-typeck.cc:12270:16: warning: format not a string literal and no format arguments [-Wformat-security] 12270 | error_at (location, invalid_op_diag); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc ../../src/gcc/c/c-convert.cc: In function ‘tree_node* c_convert(tree, tree, bool)’: ../../src/gcc/c/c-convert.cc:84:13: warning: format not a string literal and no format arguments [-Wformat-security] 84 | error (invalid_conv_diag); | ~~~~~~^~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc /bin/bash ../../src/gcc/../move-if-change tmp-attrtab.cc insn-attrtab.cc /bin/bash ../../src/gcc/../move-if-change tmp-dfatab.cc insn-dfatab.cc /bin/bash ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc echo timestamp > s-attrtab aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.cc ../../src/gcc/c-family/c-common.cc: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: ../../src/gcc/c-family/c-common.cc:6612:14: warning: format not a string literal and no format arguments [-Wformat-security] 6612 | error_at (richloc, gmsgid); | ~~~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/c-family/c-common.cc:6616:16: warning: format not a string literal and no format arguments [-Wformat-security] 6616 | error_at (richloc, message); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"aarch64-unknown-linux-gnu\" -DTARGET_MACHINE=\"aarch64-unknown-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-c.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o adjust-alignment.o -MT adjust-alignment.o -MMD -MP -MF ./.deps/adjust-alignment.TPo ../../src/gcc/adjust-alignment.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ctfc.o -MT ctfc.o -MMD -MP -MF ./.deps/ctfc.TPo ../../src/gcc/ctfc.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ctfout.o -MT ctfout.o -MMD -MP -MF ./.deps/ctfout.TPo ../../src/gcc/ctfout.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o btfout.o -MT btfout.o -MMD -MP -MF ./.deps/btfout.TPo ../../src/gcc/btfout.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o symtab-thunks.o -MT symtab-thunks.o -MMD -MP -MF ./.deps/symtab-thunks.TPo ../../src/gcc/symtab-thunks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o symtab-clones.o -MT symtab-clones.o -MMD -MP -MF ./.deps/symtab-clones.TPo ../../src/gcc/symtab-clones.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../include/c++/13\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../include/aarch64-linux-gnu/c++/13\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../include/c++/13/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../libc++_include/c++/13/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DBASEVER="\"13.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../include/c++/13\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../include/aarch64-linux-gnu/c++/13\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../include/c++/13/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../libc++_include/c++/13/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2ctf.o -MT dwarf2ctf.o -MMD -MP -MF ./.deps/dwarf2ctf.TPo ../../src/gcc/dwarf2ctf.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.cc ../../src/gcc/expmed.cc: In function ‘rtx_def* extract_bit_field_1(rtx, poly_uint64, poly_uint64, int, rtx, machine_mode, machine_mode, bool, bool, rtx_def**)’: ../../src/gcc/expmed.cc:1838:45: warning: ‘*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))’ may be used uninitialized [-Wmaybe-uninitialized] 1838 | rtx sub = extract_bit_field_as_subreg (mode1, op0, imode, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~ 1839 | bitsize, bitnum); | ~~~~~~~~~~~~~~~~ ../../src/gcc/expmed.cc:1798:19: note: ‘*(unsigned int*)((char*)&imode + offsetof(scalar_int_mode, scalar_int_mode::m_mode))’ was declared here 1798 | scalar_int_mode imode; | ^~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.cc ../../src/gcc/fold-const.cc: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: ../../src/gcc/fold-const.cc:313:13: warning: format not a string literal and no format arguments [-Wformat-security] 313 | warning (OPT_Wstrict_overflow, gmsgid); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-array-bounds.o -MT gimple-array-bounds.o -MMD -MP -MF ./.deps/gimple-array-bounds.TPo ../../src/gcc/gimple-array-bounds.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-if-to-switch.o -MT gimple-if-to-switch.o -MMD -MP -MF ./.deps/gimple-if-to-switch.TPo ../../src/gcc/gimple-if-to-switch.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-cache.o -MT gimple-range-cache.o -MMD -MP -MF ./.deps/gimple-range-cache.TPo ../../src/gcc/gimple-range-cache.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-edge.o -MT gimple-range-edge.o -MMD -MP -MF ./.deps/gimple-range-edge.TPo ../../src/gcc/gimple-range-edge.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-fold.o -MT gimple-range-fold.o -MMD -MP -MF ./.deps/gimple-range-fold.TPo ../../src/gcc/gimple-range-fold.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-gori.o -MT gimple-range-gori.o -MMD -MP -MF ./.deps/gimple-range-gori.TPo ../../src/gcc/gimple-range-gori.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-infer.o -MT gimple-range-infer.o -MMD -MP -MF ./.deps/gimple-range-infer.TPo ../../src/gcc/gimple-range-infer.cc ../../src/gcc/gimple-range-gori.cc: In member function ‘void range_def_chain::dump(FILE*, basic_block, const char*)’: ../../src/gcc/gimple-range-gori.cc:318:19: warning: format not a string literal and no format arguments [-Wformat-security] 318 | fprintf (f, prefix); | ~~~~~~~~^~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-op.o -MT gimple-range-op.o -MMD -MP -MF ./.deps/gimple-range-op.TPo ../../src/gcc/gimple-range-op.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-trace.o -MT gimple-range-trace.o -MMD -MP -MF ./.deps/gimple-range-trace.TPo ../../src/gcc/gimple-range-trace.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-warn-access.o -MT gimple-ssa-warn-access.o -MMD -MP -MF ./.deps/gimple-ssa-warn-access.TPo ../../src/gcc/gimple-ssa-warn-access.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-warn-recursion.o -MT gimple-warn-recursion.o -MMD -MP -MF ./.deps/gimple-warn-recursion.TPo ../../src/gcc/gimple-warn-recursion.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.cc ../../src/gcc/ipa-devirt.cc: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: ../../src/gcc/ipa-devirt.cc:965:10: warning: format not a string literal and no format arguments [-Wformat-security] 965 | inform (DECL_SOURCE_LOCATION (decl2), reason); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-free-lang-data.o -MT ipa-free-lang-data.o -MMD -MP -MF ./.deps/ipa-free-lang-data.TPo ../../src/gcc/ipa-free-lang-data.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-modref.o -MT ipa-modref.o -MMD -MP -MF ./.deps/ipa-modref.TPo ../../src/gcc/ipa-modref.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-modref-tree.o -MT ipa-modref-tree.o -MMD -MP -MF ./.deps/ipa-modref-tree.TPo ../../src/gcc/ipa-modref-tree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DTARGET_MACHINE=\"aarch64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-oacc-kernels-decompose.o -MT omp-oacc-kernels-decompose.o -MMD -MP -MF ./.deps/omp-oacc-kernels-decompose.TPo ../../src/gcc/omp-oacc-kernels-decompose.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-oacc-neuter-broadcast.o -MT omp-oacc-neuter-broadcast.o -MMD -MP -MF ./.deps/omp-oacc-neuter-broadcast.TPo ../../src/gcc/omp-oacc-neuter-broadcast.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DTARGET_NAME=\"aarch64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o pointer-query.o -MT pointer-query.o -MMD -MP -MF ./.deps/pointer-query.TPo ../../src/gcc/pointer-query.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o range-op-float.o -MT range-op-float.o -MMD -MP -MF ./.deps/range-op-float.TPo ../../src/gcc/range-op-float.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/accesses.o -MT rtl-ssa/accesses.o -MMD -MP -MF rtl-ssa/.deps/accesses.TPo ../../src/gcc/rtl-ssa/accesses.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/blocks.o -MT rtl-ssa/blocks.o -MMD -MP -MF rtl-ssa/.deps/blocks.TPo ../../src/gcc/rtl-ssa/blocks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/changes.o -MT rtl-ssa/changes.o -MMD -MP -MF rtl-ssa/.deps/changes.TPo ../../src/gcc/rtl-ssa/changes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/functions.o -MT rtl-ssa/functions.o -MMD -MP -MF rtl-ssa/.deps/functions.TPo ../../src/gcc/rtl-ssa/functions.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-ssa/insns.o -MT rtl-ssa/insns.o -MMD -MP -MF rtl-ssa/.deps/insns.TPo ../../src/gcc/rtl-ssa/insns.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o splay-tree-utils.o -MT splay-tree-utils.o -MMD -MP -MF ./.deps/splay-tree-utils.TPo ../../src/gcc/splay-tree-utils.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DTARGET_NAME=\"aarch64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DTARGET_NAME=\"aarch64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-diagnostic-client-data-hooks.o -MT tree-diagnostic-client-data-hooks.o -MMD -MP -MF ./.deps/tree-diagnostic-client-data-hooks.TPo ../../src/gcc/tree-diagnostic-client-data-hooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-logical-location.o -MT tree-logical-location.o -MMD -MP -MF ./.deps/tree-logical-location.TPo ../../src/gcc/tree-logical-location.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-range-path.o -MT gimple-range-path.o -MMD -MP -MF ./.deps/gimple-range-path.TPo ../../src/gcc/gimple-range-path.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.cc ../../src/gcc/tree-ssa-sccvn.cc: In function ‘void print_vn_reference_ops(FILE*, vec)’: ../../src/gcc/tree-ssa-sccvn.cc:280:21: warning: format not a string literal and no format arguments [-Wformat-security] 280 | fprintf (outfile, internal_fn_name ((internal_fn)vro->clique)); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gimple-isel.o -MT gimple-isel.o -MMD -MP -MF ./.deps/gimple-isel.TPo ../../src/gcc/gimple-isel.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vect-slp-patterns.o -MT tree-vect-slp-patterns.o -MMD -MP -MF ./.deps/tree-vect-slp-patterns.TPo ../../src/gcc/tree-vect-slp-patterns.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-pointer-equiv.o -MT value-pointer-equiv.o -MMD -MP -MF ./.deps/value-pointer-equiv.TPo ../../src/gcc/value-pointer-equiv.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-query.o -MT value-query.o -MMD -MP -MF ./.deps/value-query.TPo ../../src/gcc/value-query.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-range-pretty-print.o -MT value-range-pretty-print.o -MMD -MP -MF ./.deps/value-range-pretty-print.TPo ../../src/gcc/value-range-pretty-print.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-range-storage.o -MT value-range-storage.o -MMD -MP -MF ./.deps/value-range-storage.TPo ../../src/gcc/value-range-storage.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-relation.o -MT value-relation.o -MMD -MP -MF ./.deps/value-relation.TPo ../../src/gcc/value-relation.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o warning-control.o -MT warning-control.o -MMD -MP -MF ./.deps/warning-control.TPo ../../src/gcc/warning-control.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o aarch64.o -MT aarch64.o -MMD -MP -MF ./.deps/aarch64.TPo ../../src/gcc/config/aarch64/aarch64.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-language.o -MT analyzer/analyzer-language.o -MMD -MP -MF analyzer/.deps/analyzer-language.TPo ../../src/gcc/analyzer/analyzer-language.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/bounds-checking.o -MT analyzer/bounds-checking.o -MMD -MP -MF analyzer/.deps/bounds-checking.TPo ../../src/gcc/analyzer/bounds-checking.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-details.o -MT analyzer/call-details.o -MMD -MP -MF analyzer/.deps/call-details.TPo ../../src/gcc/analyzer/call-details.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-info.o -MT analyzer/call-info.o -MMD -MP -MF analyzer/.deps/call-info.TPo ../../src/gcc/analyzer/call-info.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/call-summary.o -MT analyzer/call-summary.o -MMD -MP -MF analyzer/.deps/call-summary.TPo ../../src/gcc/analyzer/call-summary.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/checker-event.o -MT analyzer/checker-event.o -MMD -MP -MF analyzer/.deps/checker-event.TPo ../../src/gcc/analyzer/checker-event.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc ../../src/gcc/analyzer/call-summary.cc: In member function ‘void ana::call_summary::get_user_facing_desc(pretty_printer*) const’: ../../src/gcc/analyzer/call-summary.cc:99:40: warning: unknown conversion type character ‘E’ in format [-Wformat=] 99 | "when %qE returns pointer" | ^ ../../src/gcc/analyzer/call-summary.cc:99:32: warning: too many arguments for format [-Wformat-extra-args] 99 | "when %qE returns pointer" | ^~~~~~~~~~~~~~~~~~~~~~~~~~ 100 | " to heap-allocated buffer", | ~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/call-summary.cc:113:42: warning: unknown conversion type character ‘E’ in format [-Wformat=] 113 | pp_printf (pp, "when %qE returns NULL", fndecl); | ^ ../../src/gcc/analyzer/call-summary.cc:113:34: warning: too many arguments for format [-Wformat-extra-args] 113 | pp_printf (pp, "when %qE returns NULL", fndecl); | ^~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/call-summary.cc:115:42: warning: unknown conversion type character ‘E’ in format [-Wformat=] 115 | pp_printf (pp, "when %qE returns %qE", fndecl, cst); | ^ ../../src/gcc/analyzer/call-summary.cc:115:54: warning: unknown conversion type character ‘E’ in format [-Wformat=] 115 | pp_printf (pp, "when %qE returns %qE", fndecl, cst); | ^ ../../src/gcc/analyzer/call-summary.cc:115:34: warning: too many arguments for format [-Wformat-extra-args] 115 | pp_printf (pp, "when %qE returns %qE", fndecl, cst); | ^~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/call-summary.cc:123:26: warning: unknown conversion type character ‘E’ in format [-Wformat=] 123 | pp_printf (pp, "when %qE returns", fndecl); | ^ ../../src/gcc/analyzer/call-summary.cc:123:18: warning: too many arguments for format [-Wformat-extra-args] 123 | pp_printf (pp, "when %qE returns", fndecl); | ^~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/complexity.o -MT analyzer/complexity.o -MMD -MP -MF analyzer/.deps/complexity.TPo ../../src/gcc/analyzer/complexity.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc ../../src/gcc/analyzer/diagnostic-manager.cc: In member function ‘void ana::saved_diagnostic::dump_as_dot_node(pretty_printer*) const’: ../../src/gcc/analyzer/diagnostic-manager.cc:802:28: warning: unknown conversion type character ‘E’ in format [-Wformat=] 802 | pp_printf (pp, "var: %qE\n", m_var); | ^ ../../src/gcc/analyzer/diagnostic-manager.cc:802:20: warning: too many arguments for format [-Wformat-extra-args] 802 | pp_printf (pp, "var: %qE\n", m_var); | ^~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/feasible-graph.o -MT analyzer/feasible-graph.o -MMD -MP -MF analyzer/.deps/feasible-graph.TPo ../../src/gcc/analyzer/feasible-graph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/infinite-recursion.o -MT analyzer/infinite-recursion.o -MMD -MP -MF analyzer/.deps/infinite-recursion.TPo ../../src/gcc/analyzer/infinite-recursion.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/kf.o -MT analyzer/kf.o -MMD -MP -MF analyzer/.deps/kf.TPo ../../src/gcc/analyzer/kf.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/kf-analyzer.o -MT analyzer/kf-analyzer.o -MMD -MP -MF analyzer/.deps/kf-analyzer.TPo ../../src/gcc/analyzer/kf-analyzer.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/kf-lang-cp.o -MT analyzer/kf-lang-cp.o -MMD -MP -MF analyzer/.deps/kf-lang-cp.TPo ../../src/gcc/analyzer/kf-lang-cp.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/known-function-manager.o -MT analyzer/known-function-manager.o -MMD -MP -MF analyzer/.deps/known-function-manager.TPo ../../src/gcc/analyzer/known-function-manager.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region.o -MT analyzer/region.o -MMD -MP -MF analyzer/.deps/region.TPo ../../src/gcc/analyzer/region.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc ../../src/gcc/analyzer/program-state.cc: In function ‘void ana::log_set_of_svalues(logger*, const char*, const svalue_set&)’: ../../src/gcc/analyzer/program-state.cc:1422:15: warning: format not a string literal and no format arguments [-Wformat-security] 1422 | logger->log (name); | ~~~~~~~~~~~~^~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model-asm.o -MT analyzer/region-model-asm.o -MMD -MP -MF analyzer/.deps/region-model-asm.TPo ../../src/gcc/analyzer/region-model-asm.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model-manager.o -MT analyzer/region-model-manager.o -MMD -MP -MF analyzer/.deps/region-model-manager.TPo ../../src/gcc/analyzer/region-model-manager.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/region-model-reachability.o -MT analyzer/region-model-reachability.o -MMD -MP -MF analyzer/.deps/region-model-reachability.TPo ../../src/gcc/analyzer/region-model-reachability.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-fd.o -MT analyzer/sm-fd.o -MMD -MP -MF analyzer/.deps/sm-fd.TPo ../../src/gcc/analyzer/sm-fd.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc ../../src/gcc/analyzer/sm-malloc.cc: In static member function ‘static bool ana::{anonymous}::deref_before_check::sufficiently_similar_p(tree, tree)’: ../../src/gcc/analyzer/sm-malloc.cc:1627:25: warning: unknown conversion type character ‘E’ in format [-Wformat=] 1627 | pp_printf (pp_a, "%qE", expr_a); | ^ ../../src/gcc/analyzer/sm-malloc.cc:1627:22: warning: too many arguments for format [-Wformat-extra-args] 1627 | pp_printf (pp_a, "%qE", expr_a); | ^~~~~ ../../src/gcc/analyzer/sm-malloc.cc:1628:25: warning: unknown conversion type character ‘E’ in format [-Wformat=] 1628 | pp_printf (pp_b, "%qE", expr_b); | ^ ../../src/gcc/analyzer/sm-malloc.cc:1628:22: warning: too many arguments for format [-Wformat-extra-args] 1628 | pp_printf (pp_b, "%qE", expr_b); | ^~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/store.o -MT analyzer/store.o -MMD -MP -MF analyzer/.deps/store.TPo ../../src/gcc/analyzer/store.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/svalue.o -MT analyzer/svalue.o -MMD -MP -MF analyzer/.deps/svalue.TPo ../../src/gcc/analyzer/svalue.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/trimmed-graph.o -MT analyzer/trimmed-graph.o -MMD -MP -MF analyzer/.deps/trimmed-graph.TPo ../../src/gcc/analyzer/trimmed-graph.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o analyzer/varargs.o -MT analyzer/varargs.o -MMD -MP -MF analyzer/.deps/varargs.TPo ../../src/gcc/analyzer/varargs.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-builtins.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/arm/aarch-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-sve-builtins.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-sve-builtins-shapes.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-sve-builtins-base.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-sve-builtins-sve2.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/cortex-a57-fma-steering.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-speculation.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/falkor-tag-collision-avoidance.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/arm/aarch-bti-insert.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ ../../src/gcc/config/aarch64/aarch64-cc-fusion.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o aarch64-common.o -MT aarch64-common.o -MMD -MP -MF ./.deps/aarch64-common.TPo ../../src/gcc/common/config/aarch64/aarch64-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"13.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-spec.o -MT diagnostic-spec.o -MMD -MP -MF ./.deps/diagnostic-spec.TPo ../../src/gcc/diagnostic-spec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc ../../src/gcc/diagnostic.cc: In function ‘void fancy_abort(const char*, int, const char*)’: ../../src/gcc/diagnostic.cc:2235:15: warning: format not a string literal and no format arguments [-Wformat-security] 2235 | fnotice (stderr, diagnostic_kind_text[DK_ICE]); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-format-sarif.o -MT diagnostic-format-sarif.o -MMD -MP -MF ./.deps/diagnostic-format-sarif.TPo ../../src/gcc/diagnostic-format-sarif.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-lang.o -MT lto/lto-lang.o -MMD -MP -MF lto/.deps/lto-lang.TPo ../../src/gcc/lto/lto-lang.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto.o -MT lto/lto.o -MMD -MP -MF lto/.deps/lto.TPo ../../src/gcc/lto/lto.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-object.o -MT lto/lto-object.o -MMD -MP -MF lto/.deps/lto-object.TPo ../../src/gcc/lto/lto-object.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-partition.o -MT lto/lto-partition.o -MMD -MP -MF lto/.deps/lto-partition.TPo ../../src/gcc/lto/lto-partition.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-symtab.o -MT lto/lto-symtab.o -MMD -MP -MF lto/.deps/lto-symtab.TPo ../../src/gcc/lto/lto-symtab.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-common.o -MT lto/lto-common.o -MMD -MP -MF lto/.deps/lto-common.TPo ../../src/gcc/lto/lto-common.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.cc ../../src/gcc/lto/lto-common.cc: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: ../../src/gcc/lto/lto-common.cc:2081:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2081 | fscanf (resolution, " "); /* Read white space. */ | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2083:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2083 | fread (obj_name, sizeof (char), name_len, resolution); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2103:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2103 | fscanf (resolution, "%u", &num_symbols); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.cc ../../src/gcc/vhdl/ortho-lang.cc: In function ‘tree_node* convert(tree, tree)’: ../../src/gcc/vhdl/ortho-lang.cc:649:13: warning: format not a string literal and no format arguments [-Wformat-security] 649 | error (invalid_conv_diag); | ~~~~~~^~~~~~~~~~~~~~~~~~~ ../../src/gcc/vhdl/ortho-lang.cc: In function ‘void new_var_body(tree, o_storage, tree)’: ../../src/gcc/vhdl/ortho-lang.cc:1787:20: warning: unused parameter ‘res’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~^~~ ../../src/gcc/vhdl/ortho-lang.cc:1787:40: warning: unused parameter ‘storage’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~~~~~~~~~~~^~~~~~~ ../../src/gcc/vhdl/ortho-lang.cc:1787:54: warning: unused parameter ‘atype’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~^~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c ../../src/gcc/../libgcc/libgcov-util.c: In function ‘gcov_info* gcov_read_profile_dir(const char*, int)’: ../../src/gcc/../libgcc/libgcov-util.c:455:9: warning: ignoring return value of ‘int chdir(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 455 | chdir (pwd); | ~~~~~~^~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c aarch64-linux-gnu-g++-13 -fno-PIE -c -DTARGET_MACHINE=\"aarch64-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc ../../src/gcc/collect2.cc: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: ../../src/gcc/collect2.cc:2397:21: warning: format not a string literal and no format arguments [-Wformat-security] 2397 | fatal_error (input_location, errmsg); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"aarch64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"aarch64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"aarch64-linux-gnu\" -DPERSONALITY=\"ar\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.cc ../../src/gcc/collect-utils.cc: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool, const char*)’: ../../src/gcc/collect-utils.cc:237:21: warning: format not a string literal and no format arguments [-Wformat-security] 237 | fatal_error (input_location, errmsg); | ~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"aarch64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"aarch64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"aarch64-linux-gnu\" -DPERSONALITY=\"nm\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"aarch64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"aarch64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"aarch64-linux-gnu\" -DPERSONALITY=\"ranlib\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.cc ../../src/gcc/lto-wrapper.cc: In function ‘void print_lto_docs_link()’: ../../src/gcc/lto-wrapper.cc:1369:10: warning: format not a string literal and no format arguments [-Wformat-security] 1369 | inform (UNKNOWN_LOCATION, pp_formatted_text (&pp)); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto-wrapper.cc: In function ‘void run_gcc(unsigned int, char**)’: ../../src/gcc/lto-wrapper.cc:1971:23: warning: format not a string literal and no format arguments [-Wformat-security] 1971 | warning (0, jinfo.error_msg.c_str ()); | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.cc ../../src/gcc/lto-wrapper.cc: In function ‘bool find_and_merge_options(int, off_t, const char*, vec, bool, vec*, const char*)’: ../../src/gcc/lto-wrapper.cc:1151:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 1151 | read (fd, data, length); | ~~~~~^~~~~~~~~~~~~~~~~~ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-13.2.0/gcc-13.2.0/gcc/gengtype-lex.l:135:1: note: here aarch64-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"aarch64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"aarch64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"13.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc ../../src/gcc/gcc.cc:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ | aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.cc ../../src/gcc/gcc.cc: In function ‘long unsigned int get_random_number()’: ../../src/gcc/gcc.cc:10765:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 10765 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc: In function ‘void do_report_bug(const char**, int, char**, char**)’: ../../src/gcc/gcc.cc:7964:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7964 | write (fd, "\n//", 3); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:7967:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7967 | write (fd, " ", 1); | ~~~~~~^~~~~~~~~~~~ ../../src/gcc/gcc.cc:7968:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7968 | write (fd, new_argv[i], strlen (new_argv[i])); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:7970:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7970 | write (fd, "\n\n", 2); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:7997:18: warning: ignoring return value of ‘int system(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 7997 | system (cmd); | ~~~~~~~^~~~~ ../../src/gcc/gcc.cc:8009:18: warning: ignoring return value of ‘int system(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8009 | system (cmd); | ~~~~~~~^~~~~ aarch64-linux-gnu-g++-13 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"13\" -DDEFAULT_REAL_TARGET_MACHINE=\"aarch64-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"aarch64-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace ../../src/gcc/config/aarch64/driver-aarch64.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.cc aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.cc rm -rf libcommon-target.a aarch64-linux-gnu-ar rc libcommon-target.a aarch64-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o aarch64-linux-gnu-ranlib libcommon-target.a rm -rf libcommon.a aarch64-linux-gnu-ar rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-format-json.o diagnostic-format-sarif.o diagnostic-show-locus.o edit-context.o pretty-print.o intl.o json.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o aarch64-linux-gnu-ranlib libcommon.a aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-dump.o \ hash-table.o ggc-none.o\ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-dump aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-tool aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o Tcollect2 \ collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o Tlto-wrapper \ lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tcollect2 collect2 aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-ar.o -o gcc-ar \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-nm.o -o gcc-nm \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc gcc-ranlib.o -o gcc-ranlib \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tlto-wrapper lto-wrapper aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o gengtype \ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o errors.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o xgcc gcc.o gcc-main.o ggc-none.o \ c/gccspec.o driver-aarch64.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cpp gcc.o gcc-main.o ggc-none.o \ c-family/cppspec.o driver-aarch64.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs : > tmp-libgcc.mvars echo GCC_CFLAGS = '-g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars if test yes = yes; then \ NO_PIE_CFLAGS="-fno-PIE"; \ else \ NO_PIE_CFLAGS=; \ fi; \ echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars mv tmp-libgcc.mvars libgcc.mvars if /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \ sfx=`/<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ ${flags} -print-sysroot-headers-suffix`; \ if [ "${multi_dir}" = "." ]; \ then multi_dir=""; \ else \ multi_dir=/${multi_dir}; \ fi; \ echo "${sfx};${multi_dir}"; \ done; \ else \ echo ";"; \ fi > tmp-fixinc_list /bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo timestamp > s-fixinc_list rm -rf libbackend.a aarch64-linux-gnu-ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o adjust-alignment.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o ctfc.o ctfout.o btfout.o symtab.o symtab-thunks.o symtab-clones.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2ctf.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-array-bounds.o gimple-builder.o gimple-expr.o gimple-if-to-switch.o gimple-iterator.o gimple-fold.o gimple-harden-conditionals.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-predicate-analysis.o gimple-pretty-print.o gimple-range.o gimple-range-cache.o gimple-range-edge.o gimple-range-fold.o gimple-range-gori.o gimple-range-infer.o gimple-range-op.o gimple-range-trace.o gimple-ssa-backprop.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-access.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimple-warn-recursion.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-free-lang-data.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-modref.o ipa-modref-tree.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-low.o omp-oacc-kernels-decompose.o omp-oacc-neuter-broadcast.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o pointer-query.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o range-op-float.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-ssa/accesses.o rtl-ssa/blocks.o rtl-ssa/changes.o rtl-ssa/functions.o rtl-ssa/insns.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o splay-tree-utils.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-client-data-hooks.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-logical-location.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o gimple-range-path.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o gimple-isel.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vect-slp-patterns.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o valtrack.o value-pointer-equiv.o value-query.o value-range.o value-range-pretty-print.o value-range-storage.o value-relation.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o warning-control.o web.o wide-int.o wide-int-print.o aarch64.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-language.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/bounds-checking.o analyzer/call-details.o analyzer/call-info.o analyzer/call-string.o analyzer/call-summary.o analyzer/checker-event.o analyzer/checker-path.o analyzer/complexity.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/feasible-graph.o analyzer/function-set.o analyzer/infinite-recursion.o analyzer/kf.o analyzer/kf-analyzer.o analyzer/kf-lang-cp.o analyzer/known-function-manager.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region.o analyzer/region-model.o analyzer/region-model-asm.o analyzer/region-model-manager.o analyzer/region-model-reachability.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-fd.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/store.o analyzer/supergraph.o analyzer/svalue.o analyzer/trimmed-graph.o analyzer/varargs.o aarch64-builtins.o aarch-common.o aarch64-sve-builtins.o aarch64-sve-builtins-shapes.o aarch64-sve-builtins-base.o aarch64-sve-builtins-sve2.o cortex-a57-fma-steering.o aarch64-speculation.o falkor-tag-collision-avoidance.o aarch-bti-insert.o aarch64-cc-fusion.o linux.o host-linux.o if [ -f ../stage_final ] \ && cmp -s ../stage_current ../stage_final; then \ cp ../prev-gcc/cc1-checksum.cc cc1-checksum.cc; \ else \ build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o aarch64-c.o glibc-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \ checksum-options > cc1-checksum.cc.tmp && \ ../../src/gcc/../move-if-change cc1-checksum.cc.tmp cc1-checksum.cc; \ fi gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ortho_gcc-main.ali" being checked ... -> "ortho_gcc-main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.o /<>/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb "ortho_gcc.ali" being checked ... -> "ortho_gcc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.o /<>/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb "ortho_gcc_front.ali" being checked ... -> "ortho_gcc_front.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.o /<>/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_front.o /<>/builddir/gcc/src/gcc/vhdl/ortho_front.adb aarch64-linux-gnu-g++-13 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_ident.o /<>/builddir/gcc/src/gcc/vhdl/ortho_ident.adb aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o aarch64-c.o glibc-c.o \ cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz "bug.ali" being checked ... -> "bug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/bug.o /<>/builddir/gcc/src/gcc/vhdl/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/dyn_interning.o /<>/builddir/gcc/src/gcc/vhdl/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/dyn_maps.o /<>/builddir/gcc/src/gcc/vhdl/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/dyn_tables.o /<>/builddir/gcc/src/gcc/vhdl/dyn_tables.adb "errorout.ali" being checked ... -> "errorout.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/errorout.o /<>/builddir/gcc/src/gcc/vhdl/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/errorout-console.o /<>/builddir/gcc/src/gcc/vhdl/errorout-console.adb "flags.ali" being checked ... -> "flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/flags.o /<>/builddir/gcc/src/gcc/vhdl/flags.adb "hash.ali" being checked ... -> "hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/hash.o /<>/builddir/gcc/src/gcc/vhdl/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/interning.o /<>/builddir/gcc/src/gcc/vhdl/interning.adb "libraries.ali" being checked ... -> "libraries.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/libraries.o /<>/builddir/gcc/src/gcc/vhdl/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/name_table.o /<>/builddir/gcc/src/gcc/vhdl/name_table.adb "options.ali" being checked ... -> "options.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/options.o /<>/builddir/gcc/src/gcc/vhdl/options.adb "translation.ali" being checked ... -> "translation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/translation.o /<>/builddir/gcc/src/gcc/vhdl/translation.adb "types.ali" being checked ... -> "types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/types.o /<>/builddir/gcc/src/gcc/vhdl/types.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl.ads "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-back_end.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/simple_io.o /<>/builddir/gcc/src/gcc/vhdl/simple_io.adb "version.ali" being checked ... -> "version.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/version.o /<>/builddir/gcc/src/gcc/vhdl/version.ads "files_map.ali" being checked ... -> "files_map.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/files_map.o /<>/builddir/gcc/src/gcc/vhdl/files_map.adb "filesystem.ali" being checked ... -> "filesystem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/filesystem.o /<>/builddir/gcc/src/gcc/vhdl/filesystem.adb "str_table.ali" being checked ... -> "str_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/str_table.o /<>/builddir/gcc/src/gcc/vhdl/str_table.adb "elab.ali" being checked ... -> "elab.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab.o /<>/builddir/gcc/src/gcc/vhdl/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_objtypes.adb "file_comments.ali" being checked ... -> "file_comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/file_comments.o /<>/builddir/gcc/src/gcc/vhdl/file_comments.adb echo | /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list /bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed if [ -d ../prev-gcc ]; then \ cd ../prev-gcc && \ /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \ libsubdir=. ; \ else \ set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \ echo The directory that should contain system headers does not exist: >&2 ; \ echo " `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \ tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/../../../../aarch64-linux-gnu/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='aarch64-unknown-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-aarch64-linux-gnu/fixincludes && \ /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ done; \ fi Fixing headers into /<>/builddir/gcc/build/gcc/include-fixed for aarch64-unknown-linux-gnu target "psl.ali" being checked ... -> "psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl.o /<>/builddir/gcc/src/gcc/vhdl/psl.ads Forbidden identifiers: linux unix Finding directories and links to directories Searching /usr/include/. "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.o /<>/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb Searching /usr/include/./llvm-c Searching /usr/include/./clang/18/include "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nodes.o /<>/builddir/gcc/src/gcc/vhdl/psl-nodes.adb Searching /usr/include/./clang/18.1.2/include "std_names.ali" being checked ... -> "std_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/std_names.o /<>/builddir/gcc/src/gcc/vhdl/std_names.adb Searching /usr/include/./llvm Making symbolic directory links "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb "logging.ali" being checked ... -> "logging.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/logging.o /<>/builddir/gcc/src/gcc/vhdl/logging.adb "tables.ali" being checked ... -> "tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/tables.o /<>/builddir/gcc/src/gcc/vhdl/tables.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb Fixing directory /usr/include into /<>/builddir/gcc/build/gcc/include-fixed Applying io_quotes_use to drm/xe_drm.h Applying io_quotes_use to drm/habanalabs_accel.h Applying io_quotes_use to drm/exynos_drm.h Applying io_quotes_use to drm/amdgpu_drm.h Applying io_quotes_use to drm/lima_drm.h Applying io_quotes_use to drm/msm_drm.h Applying io_quotes_use to drm/i915_drm.h Applying io_quotes_use to drm/pvr_drm.h Applying io_quotes_use to drm/vgem_drm.h Applying io_quotes_use to drm/omap_drm.h Applying io_quotes_use to drm/vc4_drm.h Applying io_quotes_use to drm/qaic_accel.h Applying io_quotes_use to drm/nouveau_drm.h Applying io_quotes_use to drm/drm.h Applying io_quotes_use to drm/v3d_drm.h Applying io_quotes_use to drm/panfrost_drm.h Applying io_quotes_use to drm/tegra_drm.h Applying io_quotes_use to drm/etnaviv_drm.h Applying io_quotes_use to drm/radeon_drm.h Applying io_quotes_use to scsi/cxlflash_ioctl.h Applying pthread_incomplete_struct_argument to pthread.h Fixed: pthread.h Applying hpux8_bogus_inlines to math.h Applying io_quotes_use to mtd/ubi-user.h Applying io_quotes_def to unicode/platform.h Applying io_quotes_use to sound/compress_offload.h Applying io_quotes_use to sound/asequencer.h Applying io_quotes_use to sound/emu10k1.h Applying io_quotes_use to sound/asound.h "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb Applying io_quotes_use to video/sisfb.h "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb Applying io_quotes_use to aarch64-linux-gnu/sys/mount.h Applying machine_name to aarch64-linux-gnu/sys/mount.h Applying machine_name to aarch64-linux-gnu/sys/rseq.h Applying io_quotes_use to aarch64-linux-gnu/sys/raw.h Applying machine_name to aarch64-linux-gnu/bits/unistd_ext.h Applying machine_name to aarch64-linux-gnu/bits/statx.h Applying sun_malloc to malloc.h Applying glibc_stdint to stdint.h Applying io_quotes_use to misc/cxl.h Applying io_quotes_use to misc/ocxl.h Applying io_quotes_use to misc/xilinx_sdfec.h Applying io_quotes_use to linux/genwqe/genwqe_card.h Applying io_quotes_use to linux/remoteproc_cdev.h Applying io_quotes_use to linux/if_pppox.h Applying io_quotes_use to linux/btrfs.h Applying io_quotes_use to linux/omapfb.h Applying io_quotes_use to linux/loadpin.h Applying io_quotes_use to linux/wmi.h Applying io_quotes_use to linux/nsm.h Applying io_quotes_use to linux/vhost.h Applying io_quotes_use to linux/sev-guest.h Applying io_quotes_use to linux/pktcdvd.h Applying io_quotes_use to linux/ptp_clock.h Applying io_quotes_use to linux/psp-dbc.h Applying io_quotes_use to linux/raid/md_u.h Applying io_quotes_use to linux/ipmi_bmc.h Applying io_quotes_use to linux/auto_fs.h Applying io_quotes_use to linux/fd.h Applying io_quotes_use to linux/ndctl.h Applying io_quotes_use to linux/apparmor.h Applying io_quotes_use to linux/usb/tmc.h Applying io_quotes_use to linux/vm_sockets.h Applying io_quotes_use to linux/dm-ioctl.h Applying io_quotes_use to linux/mmtimer.h Applying io_quotes_use to linux/rio_cm_cdev.h "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.o /<>/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads Applying io_quotes_def to linux/soundcard.h "trans.ali" being checked ... -> "trans.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans.o /<>/builddir/gcc/src/gcc/vhdl/trans.adb Applying io_quotes_use to linux/aspeed-p2a-ctrl.h Applying io_quotes_use to linux/atmbr2684.h Applying io_quotes_use to linux/mmc/ioctl.h Applying io_quotes_use to linux/pfrut.h Applying io_quotes_use to linux/aspeed-lpc-ctrl.h Applying io_quotes_use to linux/kfd_ioctl.h "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap1.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap1.adb Applying io_quotes_def to linux/version.h Applying io_quotes_use to linux/suspend_ioctls.h Applying io_quotes_use to linux/blkzoned.h Applying io_quotes_use to linux/counter.h Applying io_quotes_def to linux/ppp-comp.h Applying io_quotes_use to linux/tps6594_pfsm.h Applying io_quotes_use to linux/hsi/hsi_char.h Applying io_quotes_use to linux/hsi/cs-protocol.h Applying io_quotes_use to linux/random.h Applying io_quotes_use to linux/userfaultfd.h Applying io_quotes_use to linux/dma-heap.h Applying io_quotes_use to linux/blkpg.h Applying io_quotes_use to linux/sync_file.h Applying io_quotes_use to linux/i2o-dev.h Applying io_quotes_use to linux/nsfs.h Applying io_quotes_use to linux/cxl_mem.h Applying io_quotes_use to linux/rfkill.h Applying io_quotes_use to linux/uinput.h Applying io_quotes_use to linux/psp-sev.h Applying io_quotes_use to linux/ppdev.h Applying io_quotes_def to linux/pci_regs.h Applying io_quotes_use to linux/vtpm_proxy.h Applying io_quotes_use to linux/iommufd.h Applying io_quotes_use to linux/vduse.h Applying io_quotes_use to linux/nbd.h Applying io_quotes_use to linux/rpmsg.h Applying io_quotes_use to linux/nitro_enclaves.h Applying io_quotes_use to linux/phantom.h Applying io_quotes_use to linux/gpio.h Applying io_quotes_use to linux/user_events.h Applying io_quotes_use to linux/cciss_ioctl.h Applying io_quotes_use to linux/kvm.h Applying io_quotes_use to linux/spi/spidev.h Applying io_quotes_use to linux/watchdog.h Applying io_quotes_use to linux/fuse.h Applying io_quotes_use to linux/dma-buf.h Applying io_quotes_use to linux/comedi.h Applying io_quotes_use to linux/misc/bcm_vk.h Applying io_quotes_use to linux/bt-bmc.h Applying io_quotes_use to linux/fs.h Applying io_quotes_use to linux/tee.h Applying io_quotes_use to linux/synclink.h Applying io_quotes_use to linux/isst_if.h Applying io_quotes_use to linux/ipmi.h "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap12.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap12.adb Applying io_quotes_use to linux/f2fs.h Applying io_quotes_use to linux/surface_aggregator/dtx.h Applying io_quotes_use to linux/surface_aggregator/cdev.h Applying io_quotes_use to linux/fpga-dfl.h Applying io_quotes_use to linux/sockios.h Applying io_quotes_use to linux/cachefiles.h Applying io_quotes_use to linux/vfio.h Applying io_quotes_use to linux/agpgart.h Applying io_quotes_use to linux/seccomp.h Applying io_quotes_use to linux/reiserfs_fs.h Applying sysv68_string to string.h Applying io_quotes_use to rdma/rdma_user_ioctl.h Fixing directory /usr/include/llvm-c into /<>/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-18/include/llvm-c Fixing directory /usr/include/clang/18/include into /<>/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-18/lib/clang/18/include "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap2.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap2.adb "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap3.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap4.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap4.adb Fixing directory /usr/include/llvm into /<>/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-18/include/llvm "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap7.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap7.adb Cleaning up unneeded directories: "trans-coverage.ali" being checked ... -> "trans-coverage.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-coverage.o /<>/builddir/gcc/src/gcc/vhdl/trans-coverage.adb "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.o /<>/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-rtis.o /<>/builddir/gcc/src/gcc/vhdl/trans-rtis.adb "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans_decls.o /<>/builddir/gcc/src/gcc/vhdl/trans_decls.ads "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb fixincludes is done echo timestamp > stmp-fixinc if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/aarch64/arm_fp16.h ../../src/gcc/config/aarch64/arm_neon.h ../../src/gcc/config/aarch64/arm_bf16.h ../../src/gcc/config/aarch64/arm_acle.h ../../src/gcc/config/aarch64/arm_sve.h; do \ if [ X$file != X.. ]; then \ realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ echo timestamp > include/$realfile; \ rm -f include/$realfile; \ cp $file include; \ chmod a+r include/$realfile; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ mv include/$file include/x_$file; \ echo "#include_next <$file>" >include/$file; \ cat include/x_$file >>include/$file; \ rm -f include/x_$file; \ chmod a+r include/$file; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ echo "#include_next <$file>" >>include/$file; \ chmod a+r include/$file; \ fi; \ done rm -f include/stdint.h if [ wrap = wrap ]; then \ rm -f include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ chmod a+r include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ chmod a+r include/stdint.h; \ elif [ wrap = provide ]; then \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ chmod a+r include/stdint.h; \ fi set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ include_dir=include${multi_dir}; \ if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/aarch64-linux-gnu/limits.h ] ; then \ cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ else \ cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${include_dir}; \ chmod a+rx ${include_dir} || true; \ /bin/bash ../../src/gcc/../move-if-change \ tmp-xlimits.h tmp-limits.h; \ rm -f ${include_dir}/limits.h; \ cp -p tmp-limits.h ${include_dir}/limits.h; \ chmod a+r ${include_dir}/limits.h; \ cp ../../src/gcc/gsyslimits.h ${include_dir}/syslimits.h; \ done "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb if [ xstmp-fixinc != x ]; then \ rm -f include-fixed/README; \ cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README; \ chmod a+r include-fixed/README; \ fi; echo timestamp > stmp-int-hdrs /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests cc1: note: self-tests are not enabled in this build echo timestamp > s-selftest-c "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-types.o /<>/builddir/gcc/src/gcc/vhdl/psl-types.ads "grt.ali" being checked ... -> "grt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt.o /<>/builddir/gcc/src/gcc/vhdl/grt.ads "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-algos.o /<>/builddir/gcc/src/gcc/vhdl/grt-algos.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/utils_io.o /<>/builddir/gcc/src/gcc/vhdl/utils_io.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-types.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-types.ads "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-errors.o /<>/builddir/gcc/src/gcc/vhdl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /<>/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb "mutils.ali" being checked ... -> "mutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/mutils.o /<>/builddir/gcc/src/gcc/vhdl/mutils.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/types_utils.o /<>/builddir/gcc/src/gcc/vhdl/types_utils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/areapools.o /<>/builddir/gcc/src/gcc/vhdl/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-memtype.o /<>/builddir/gcc/src/gcc/vhdl/elab-memtype.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-types.o /<>/builddir/gcc/src/gcc/vhdl/grt-types.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-hash.o /<>/builddir/gcc/src/gcc/vhdl/psl-hash.adb "lists.ali" being checked ... -> "lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/lists.o /<>/builddir/gcc/src/gcc/vhdl/lists.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.o /<>/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.o /<>/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-build.o /<>/builddir/gcc/src/gcc/vhdl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nfas.o /<>/builddir/gcc/src/gcc/vhdl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.o /<>/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.o /<>/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-comments.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_types.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.o /<>/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.o /<>/builddir/gcc/src/gcc/vhdl/grt-vhdl_types.ads "synth.ali" being checked ... -> "synth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth.o /<>/builddir/gcc/src/gcc/vhdl/synth.ads "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_eval.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap5.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap5.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap6.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap6.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap9.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap9.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap8.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap8.adb "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /<>/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans-chap14.o /<>/builddir/gcc/src/gcc/vhdl/trans-chap14.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-subsets.o /<>/builddir/gcc/src/gcc/vhdl/psl-subsets.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-sensitivity_checks.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.o /<>/builddir/gcc/src/gcc/vhdl/vhdl-std_env.adb "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /<>/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-c.o /<>/builddir/gcc/src/gcc/vhdl/grt-c.ads "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-cse.o /<>/builddir/gcc/src/gcc/vhdl/psl-cse.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.o /<>/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-optimize.o /<>/builddir/gcc/src/gcc/vhdl/psl-optimize.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-prints.o /<>/builddir/gcc/src/gcc/vhdl/psl-prints.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-qm.o /<>/builddir/gcc/src/gcc/vhdl/psl-qm.adb "flists.ali" being checked ... -> "flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/flists.o /<>/builddir/gcc/src/gcc/vhdl/flists.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/psl-priorities.o /<>/builddir/gcc/src/gcc/vhdl/psl-priorities.ads "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_expr.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-errors.o /<>/builddir/gcc/src/gcc/vhdl/synth-errors.adb "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_context.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_context.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-strings.o /<>/builddir/gcc/src/gcc/vhdl/grt-strings.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-arith.o /<>/builddir/gcc/src/gcc/vhdl/grt-arith.adb "netlists.ali" being checked ... -> "netlists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists.o /<>/builddir/gcc/src/gcc/vhdl/netlists.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_arith.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-source.o /<>/builddir/gcc/src/gcc/vhdl/synth-source.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /<>/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_files.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_insts.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-files.o /<>/builddir/gcc/src/gcc/vhdl/grt-files.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_heap.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.o /<>/builddir/gcc/src/gcc/vhdl/grt-rstrings.adb "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-folds.o /<>/builddir/gcc/src/gcc/vhdl/netlists-folds.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-locations.o /<>/builddir/gcc/src/gcc/vhdl/netlists-locations.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-builders.o /<>/builddir/gcc/src/gcc/vhdl/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-context.o /<>/builddir/gcc/src/gcc/vhdl/synth-context.ads "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_annotations.adb "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.o /<>/builddir/gcc/src/gcc/vhdl/synth-ieee-utils.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-gates.o /<>/builddir/gcc/src/gcc/vhdl/netlists-gates.ads "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-utils.o /<>/builddir/gcc/src/gcc/vhdl/netlists-utils.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_oper.adb "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_prot.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-flags.o /<>/builddir/gcc/src/gcc/vhdl/synth-flags.ads "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.o /<>/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-stdio.o /<>/builddir/gcc/src/gcc/vhdl/grt-stdio.ads "grt-table.ali" being checked ... -> "grt-table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-table.o /<>/builddir/gcc/src/gcc/vhdl/grt-table.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_stmts.adb "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_utils.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-debugger.o /<>/builddir/gcc/src/gcc/vhdl/elab-debugger.adb "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_debug.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-severity.o /<>/builddir/gcc/src/gcc/vhdl/grt-severity.ads "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_foreign.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.o /<>/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-environment.o /<>/builddir/gcc/src/gcc/vhdl/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.o /<>/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-concats.o /<>/builddir/gcc/src/gcc/vhdl/netlists-concats.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-dump.o /<>/builddir/gcc/src/gcc/vhdl/netlists-dump.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.o /<>/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-inference.o /<>/builddir/gcc/src/gcc/vhdl/netlists-inference.adb "debuggers.ali" being checked ... -> "debuggers.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/debuggers.o /<>/builddir/gcc/src/gcc/vhdl/debuggers.adb "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.o /<>/builddir/gcc/src/gcc/vhdl/elab-vhdl_context-debug.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-dynload.o /<>/builddir/gcc/src/gcc/vhdl/grt-dynload.ads "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-readline.o /<>/builddir/gcc/src/gcc/vhdl/grt-readline.ads "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.o /<>/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.o /<>/builddir/gcc/src/gcc/vhdl/grt-readline_none.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synthesis.o /<>/builddir/gcc/src/gcc/vhdl/synthesis.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-errors.o /<>/builddir/gcc/src/gcc/vhdl/netlists-errors.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-internings.o /<>/builddir/gcc/src/gcc/vhdl/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-memories.o /<>/builddir/gcc/src/gcc/vhdl/netlists-memories.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.o /<>/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-expands.o /<>/builddir/gcc/src/gcc/vhdl/netlists-expands.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_insts.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/netlists-butils.o /<>/builddir/gcc/src/gcc/vhdl/netlists-butils.adb "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_exprs.adb "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_sources.adb "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_stmts.adb "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_values.adb "verilog.ali" being checked ... -> "verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog.o /<>/builddir/gcc/src/gcc/vhdl/verilog.ads "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.o /<>/builddir/gcc/src/gcc/vhdl/verilog-allocates.adb "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.o /<>/builddir/gcc/src/gcc/vhdl/verilog-bignums.adb "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.o /<>/builddir/gcc/src/gcc/vhdl/verilog-disp_verilog.adb "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.o /<>/builddir/gcc/src/gcc/vhdl/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-errors.o /<>/builddir/gcc/src/gcc/vhdl/verilog-errors.adb "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.o /<>/builddir/gcc/src/gcc/vhdl/verilog-nodes.adb "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.o /<>/builddir/gcc/src/gcc/vhdl/verilog-nutils.adb "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem.adb "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_instances.adb "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_names.adb "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-storages.o /<>/builddir/gcc/src/gcc/vhdl/verilog-storages.adb "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.o /<>/builddir/gcc/src/gcc/vhdl/verilog-vpi.adb "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-types.o /<>/builddir/gcc/src/gcc/vhdl/verilog-types.ads "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_utils.adb "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-executions.o /<>/builddir/gcc/src/gcc/vhdl/verilog-executions.adb verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-standard.o /<>/builddir/gcc/src/gcc/vhdl/verilog-standard.ads "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.o /<>/builddir/gcc/src/gcc/vhdl/synth-verilog_errors.adb "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_scopes.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.o /<>/builddir/gcc/src/gcc/vhdl/verilog-tokens.adb "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-abi.o /<>/builddir/gcc/src/gcc/vhdl/verilog-abi.ads "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_types.adb "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.o /<>/builddir/gcc/src/gcc/vhdl/verilog-simulation.adb "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_arrays.adb "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_queues.adb "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sv_strings.adb "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.o /<>/builddir/gcc/src/gcc/vhdl/verilog-disp_tree.adb "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-flags.o /<>/builddir/gcc/src/gcc/vhdl/verilog-flags.ads "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.o /<>/builddir/gcc/src/gcc/vhdl/verilog-nodes_meta.adb "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.o /<>/builddir/gcc/src/gcc/vhdl/verilog-resolve_names.adb "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_decls.adb "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_stmts.adb "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.o /<>/builddir/gcc/src/gcc/vhdl/verilog-sem_upwards.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/grt-astdio.o /<>/builddir/gcc/src/gcc/vhdl/grt-astdio.adb "name_maps.ali" being checked ... -> "name_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/name_maps.o /<>/builddir/gcc/src/gcc/vhdl/name_maps.adb "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-parse.o /<>/builddir/gcc/src/gcc/vhdl/verilog-parse.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.o /<>/builddir/gcc/src/gcc/vhdl/verilog-debugger.adb "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-scans.o /<>/builddir/gcc/src/gcc/vhdl/verilog-scans.adb "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.o /<>/builddir/gcc/src/gcc/vhdl/verilog-bn_tables.ads "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /<>/builddir/gcc/build/gcc/vhdl/verilog-macros.o /<>/builddir/gcc/src/gcc/vhdl/verilog-macros.ads End of compilation gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation "ghdl1" missing. aarch64-linux-gnu-gnatbind-13 -aI../../src/gcc/vhdl -aOvhdl -E -x /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali aarch64-linux-gnu-gnatlink-13 /<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... -> "ghdl_gcc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/build/gcc/vhdl/default_paths.ads "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb "ghdllib.ali" being checked ... -> "ghdllib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllib.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb default_paths.ads:18:01: (style) horizontal tab not allowed default_paths.ads:19:01: (style) horizontal tab not allowed "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlverilog.adb "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto1 \ lto/lto-lang.o lto/lto.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a aarch64-linux-gnu-g++-13 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=3 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/verilog-find_top.adb "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/verilog-vhdl_export.adb "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/netlists-rename.adb "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /<>/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -o lto-dump \ lto/lto-lang.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-dump.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a End of compilation aarch64-linux-gnu-gnatbind-13 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali aarch64-linux-gnu-gnatlink-13 ghdl_gcc.ali -o ghdl --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -R rm gcc.pod cpp.pod make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... mkdir -p -- aarch64-linux-gnu/libgcc Configuring in aarch64-linux-gnu/libgcc make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' aarch64-linux-gnu-g++-13 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF server.d -c -o server.o ../../src/c++tools/server.cc make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' aarch64-linux-gnu-g++-13 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/c++tools/resolver.cc /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o configure: creating cache ./config.cache checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking for --enable-version-specific-runtime-libs... no checking for a BSD-compatible install... /usr/bin/install -c checking for gawk... gawk checking for aarch64-linux-gnu-ar... aarch64-linux-gnu-ar checking for aarch64-linux-gnu-lipo... lipo checking for aarch64-linux-gnu-nm... /<>/builddir/gcc/build/./gcc/nm checking for aarch64-linux-gnu-ranlib... aarch64-linux-gnu-ranlib checking for aarch64-linux-gnu-strip... aarch64-linux-gnu-strip checking whether ln -s works... yes checking for aarch64-linux-gnu-gcc... /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include accepts -g... yes checking for /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include option to accept ISO C89... none needed checking how to run the C preprocessor... /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -E checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of double... mv -f .deps/findcomp.Tpo .deps/findcomp.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o ../../src/c++tools/server.cc: In function ‘void server(bool, int, module_resolver*)’: ../../src/c++tools/server.cc:620:10: warning: ignoring return value of ‘int pipe(int*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 620 | pipe (term_pipe); | ~~~~~^~~~~~~~~~~ ../../src/c++tools/server.cc: In function ‘void term_signal(int)’: ../../src/c++tools/server.cc:490:11: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 490 | write (term_pipe[1], &term_pipe[1], 1); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 8 checking size of long double... 16 checking for inttypes.h... yes checking for stdint.h... yes checking for stdlib.h... yes checking for ftw.h... yes checking for unistd.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for string.h... yes checking for strings.h... yes checking for memory.h... yes checking for sys/auxv.h... yes checking for sys/mman.h... yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o aarch64-linux-gnu-g++-13 -static-libstdc++ -static-libgcc -fPIE -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/libiberty.a yes checking whether decimal floating point is supported... yes checking whether fixed-point is supported... /usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc no checking whether the compiler is configured for setjmp/longjmp exceptions... libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc -fPIC -DPIC -o .libs/compiler.o no checking for CET support... no checking if the linker (/<>/builddir/gcc/build/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes checking for target glibc version... 2.39 checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... yes checking whether the thread-local storage support is from emutls... no checking if the assembler supports LSE... yes checking for init priority support... yes configure: updating cache ./config.cache configure: creating ./config.status mv -f .deps/compiler.Tpo .deps/compiler.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o mv -f .deps/names.Tpo .deps/names.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc config.status: creating Makefile config.status: creating auto-target.h libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o config.status: executing default commands mv -f .deps/callbacks.Tpo .deps/callbacks.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o WARNING: biarch_multidir_names is unset. Use default value: libiberty libstdc++-v3 libgfortran libmudflap libssp libffi libobjc libgomp Adding multilib support to Makefile in ../../../src/libgcc multidirs= with_multisubdir= mv -f .deps/libcc1.Tpo .deps/libcc1.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o mv -f .deps/marshall.Tpo .deps/marshall.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c ../../src/libcc1/context.cc -fPIC -DPIC -o .libs/context.o mv -f .deps/connection.Tpo .deps/connection.Plo /bin/bash ./libtool --tag=CXX --mode=compile aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc libtool: compile: aarch64-linux-gnu-g++-13 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=3 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o mv -f .deps/libcp1.Tpo .deps/libcp1.Plo make[4]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' # If this is the top-level multilib, build all the other # multilibs. make[5]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[5]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c ln -s ../../../src/libgcc/unwind-generic.h unwind.h ln -s ../../../src/libgcc/config/aarch64/linux-unwind.h md-unwind-support.h ln -s ../../../src/libgcc/config/aarch64/sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='../../../src/libgcc/config/aarch64/value-unwind.h' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h /bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h echo timestamp > libgcc_tm.stamp sed -e 's/__PFX__/__/g' \ -e 's/__FIXPTPFX__/__/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h { cat libgcc-std.ver ../../../src/libgcc/config/libgcc-glibc.ver ../../../src/libgcc/config/aarch64/libgcc-softfp.ver \ | sed -e '/^[ ]*#/d' \ -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \ | /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -E -xassembler-with-cpp -; \ } > tmp-libgcc.map.in mv tmp-libgcc.map.in libgcc.map.in /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_1_1.o -MT cas_1_1.o -MD -MP -MF cas_1_1.dep -DL_cas -DSIZE=1 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_2_1.o -MT cas_2_1.o -MD -MP -MF cas_2_1.dep -DL_cas -DSIZE=2 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_4_1.o -MT cas_4_1.o -MD -MP -MF cas_4_1.dep -DL_cas -DSIZE=4 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S mv -f .deps/context.Tpo .deps/context.Plo /bin/bash ./libtool --tag=CXX --mode=link aarch64-linux-gnu-g++-13 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_8_1.o -MT cas_8_1.o -MD -MP -MF cas_8_1.dep -DL_cas -DSIZE=8 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_16_1.o -MT cas_16_1.o -MD -MP -MF cas_16_1.dep -DL_cas -DSIZE=16 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_1_2.o -MT cas_1_2.o -MD -MP -MF cas_1_2.dep -DL_cas -DSIZE=1 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S libtool: link: aarch64-linux-gnu-g++-13 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu/crti.o /usr/lib/gcc/aarch64-linux-gnu/13/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/aarch64-linux-gnu/13 -L/usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu -L/usr/lib/gcc/aarch64-linux-gnu/13/../../../../lib -L/lib/aarch64-linux-gnu -L/lib/../lib -L/usr/lib/aarch64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/aarch64-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/aarch64-linux-gnu/13/crtendS.o /usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_2_2.o -MT cas_2_2.o -MD -MP -MF cas_2_2.dep -DL_cas -DSIZE=2 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_4_2.o -MT cas_4_2.o -MD -MP -MF cas_4_2.dep -DL_cas -DSIZE=4 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_8_2.o -MT cas_8_2.o -MD -MP -MF cas_8_2.dep -DL_cas -DSIZE=8 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_16_2.o -MT cas_16_2.o -MD -MP -MF cas_16_2.dep -DL_cas -DSIZE=16 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_1_3.o -MT cas_1_3.o -MD -MP -MF cas_1_3.dep -DL_cas -DSIZE=1 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_2_3.o -MT cas_2_3.o -MD -MP -MF cas_2_3.dep -DL_cas -DSIZE=2 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_4_3.o -MT cas_4_3.o -MD -MP -MF cas_4_3.dep -DL_cas -DSIZE=4 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_8_3.o -MT cas_8_3.o -MD -MP -MF cas_8_3.dep -DL_cas -DSIZE=8 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_16_3.o -MT cas_16_3.o -MD -MP -MF cas_16_3.dep -DL_cas -DSIZE=16 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_1_4.o -MT cas_1_4.o -MD -MP -MF cas_1_4.dep -DL_cas -DSIZE=1 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_2_4.o -MT cas_2_4.o -MD -MP -MF cas_2_4.dep -DL_cas -DSIZE=2 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_4_4.o -MT cas_4_4.o -MD -MP -MF cas_4_4.dep -DL_cas -DSIZE=4 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_8_4.o -MT cas_8_4.o -MD -MP -MF cas_8_4.dep -DL_cas -DSIZE=8 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_16_4.o -MT cas_16_4.o -MD -MP -MF cas_16_4.dep -DL_cas -DSIZE=16 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_1_5.o -MT cas_1_5.o -MD -MP -MF cas_1_5.dep -DL_cas -DSIZE=1 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_2_5.o -MT cas_2_5.o -MD -MP -MF cas_2_5.dep -DL_cas -DSIZE=2 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_4_5.o -MT cas_4_5.o -MD -MP -MF cas_4_5.dep -DL_cas -DSIZE=4 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_8_5.o -MT cas_8_5.o -MD -MP -MF cas_8_5.dep -DL_cas -DSIZE=8 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o cas_16_5.o -MT cas_16_5.o -MD -MP -MF cas_16_5.dep -DL_cas -DSIZE=16 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_1_1.o -MT swp_1_1.o -MD -MP -MF swp_1_1.dep -DL_swp -DSIZE=1 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_1_1.o -MT ldadd_1_1.o -MD -MP -MF ldadd_1_1.dep -DL_ldadd -DSIZE=1 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_1_1.o -MT ldclr_1_1.o -MD -MP -MF ldclr_1_1.dep -DL_ldclr -DSIZE=1 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_1_1.o -MT ldeor_1_1.o -MD -MP -MF ldeor_1_1.dep -DL_ldeor -DSIZE=1 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_1_1.o -MT ldset_1_1.o -MD -MP -MF ldset_1_1.dep -DL_ldset -DSIZE=1 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_2_1.o -MT swp_2_1.o -MD -MP -MF swp_2_1.dep -DL_swp -DSIZE=2 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_2_1.o -MT ldadd_2_1.o -MD -MP -MF ldadd_2_1.dep -DL_ldadd -DSIZE=2 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_2_1.o -MT ldclr_2_1.o -MD -MP -MF ldclr_2_1.dep -DL_ldclr -DSIZE=2 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_2_1.o -MT ldeor_2_1.o -MD -MP -MF ldeor_2_1.dep -DL_ldeor -DSIZE=2 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_2_1.o -MT ldset_2_1.o -MD -MP -MF ldset_2_1.dep -DL_ldset -DSIZE=2 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_4_1.o -MT swp_4_1.o -MD -MP -MF swp_4_1.dep -DL_swp -DSIZE=4 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_4_1.o -MT ldadd_4_1.o -MD -MP -MF ldadd_4_1.dep -DL_ldadd -DSIZE=4 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_4_1.o -MT ldclr_4_1.o -MD -MP -MF ldclr_4_1.dep -DL_ldclr -DSIZE=4 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_4_1.o -MT ldeor_4_1.o -MD -MP -MF ldeor_4_1.dep -DL_ldeor -DSIZE=4 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_4_1.o -MT ldset_4_1.o -MD -MP -MF ldset_4_1.dep -DL_ldset -DSIZE=4 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_8_1.o -MT swp_8_1.o -MD -MP -MF swp_8_1.dep -DL_swp -DSIZE=8 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_8_1.o -MT ldadd_8_1.o -MD -MP -MF ldadd_8_1.dep -DL_ldadd -DSIZE=8 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_8_1.o -MT ldclr_8_1.o -MD -MP -MF ldclr_8_1.dep -DL_ldclr -DSIZE=8 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_8_1.o -MT ldeor_8_1.o -MD -MP -MF ldeor_8_1.dep -DL_ldeor -DSIZE=8 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_8_1.o -MT ldset_8_1.o -MD -MP -MF ldset_8_1.dep -DL_ldset -DSIZE=8 -DMODEL=1 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_1_2.o -MT swp_1_2.o -MD -MP -MF swp_1_2.dep -DL_swp -DSIZE=1 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_1_2.o -MT ldadd_1_2.o -MD -MP -MF ldadd_1_2.dep -DL_ldadd -DSIZE=1 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_1_2.o -MT ldclr_1_2.o -MD -MP -MF ldclr_1_2.dep -DL_ldclr -DSIZE=1 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_1_2.o -MT ldeor_1_2.o -MD -MP -MF ldeor_1_2.dep -DL_ldeor -DSIZE=1 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_1_2.o -MT ldset_1_2.o -MD -MP -MF ldset_1_2.dep -DL_ldset -DSIZE=1 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_2_2.o -MT swp_2_2.o -MD -MP -MF swp_2_2.dep -DL_swp -DSIZE=2 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_2_2.o -MT ldadd_2_2.o -MD -MP -MF ldadd_2_2.dep -DL_ldadd -DSIZE=2 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_2_2.o -MT ldclr_2_2.o -MD -MP -MF ldclr_2_2.dep -DL_ldclr -DSIZE=2 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_2_2.o -MT ldeor_2_2.o -MD -MP -MF ldeor_2_2.dep -DL_ldeor -DSIZE=2 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_2_2.o -MT ldset_2_2.o -MD -MP -MF ldset_2_2.dep -DL_ldset -DSIZE=2 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_4_2.o -MT swp_4_2.o -MD -MP -MF swp_4_2.dep -DL_swp -DSIZE=4 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_4_2.o -MT ldadd_4_2.o -MD -MP -MF ldadd_4_2.dep -DL_ldadd -DSIZE=4 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_4_2.o -MT ldclr_4_2.o -MD -MP -MF ldclr_4_2.dep -DL_ldclr -DSIZE=4 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_4_2.o -MT ldeor_4_2.o -MD -MP -MF ldeor_4_2.dep -DL_ldeor -DSIZE=4 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_4_2.o -MT ldset_4_2.o -MD -MP -MF ldset_4_2.dep -DL_ldset -DSIZE=4 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_8_2.o -MT swp_8_2.o -MD -MP -MF swp_8_2.dep -DL_swp -DSIZE=8 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_8_2.o -MT ldadd_8_2.o -MD -MP -MF ldadd_8_2.dep -DL_ldadd -DSIZE=8 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_8_2.o -MT ldclr_8_2.o -MD -MP -MF ldclr_8_2.dep -DL_ldclr -DSIZE=8 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_8_2.o -MT ldeor_8_2.o -MD -MP -MF ldeor_8_2.dep -DL_ldeor -DSIZE=8 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_8_2.o -MT ldset_8_2.o -MD -MP -MF ldset_8_2.dep -DL_ldset -DSIZE=8 -DMODEL=2 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_1_3.o -MT swp_1_3.o -MD -MP -MF swp_1_3.dep -DL_swp -DSIZE=1 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_1_3.o -MT ldadd_1_3.o -MD -MP -MF ldadd_1_3.dep -DL_ldadd -DSIZE=1 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_1_3.o -MT ldclr_1_3.o -MD -MP -MF ldclr_1_3.dep -DL_ldclr -DSIZE=1 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_1_3.o -MT ldeor_1_3.o -MD -MP -MF ldeor_1_3.dep -DL_ldeor -DSIZE=1 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_1_3.o -MT ldset_1_3.o -MD -MP -MF ldset_1_3.dep -DL_ldset -DSIZE=1 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_2_3.o -MT swp_2_3.o -MD -MP -MF swp_2_3.dep -DL_swp -DSIZE=2 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_2_3.o -MT ldadd_2_3.o -MD -MP -MF ldadd_2_3.dep -DL_ldadd -DSIZE=2 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_2_3.o -MT ldclr_2_3.o -MD -MP -MF ldclr_2_3.dep -DL_ldclr -DSIZE=2 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_2_3.o -MT ldeor_2_3.o -MD -MP -MF ldeor_2_3.dep -DL_ldeor -DSIZE=2 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_2_3.o -MT ldset_2_3.o -MD -MP -MF ldset_2_3.dep -DL_ldset -DSIZE=2 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_4_3.o -MT swp_4_3.o -MD -MP -MF swp_4_3.dep -DL_swp -DSIZE=4 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_4_3.o -MT ldadd_4_3.o -MD -MP -MF ldadd_4_3.dep -DL_ldadd -DSIZE=4 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_4_3.o -MT ldclr_4_3.o -MD -MP -MF ldclr_4_3.dep -DL_ldclr -DSIZE=4 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_4_3.o -MT ldeor_4_3.o -MD -MP -MF ldeor_4_3.dep -DL_ldeor -DSIZE=4 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_4_3.o -MT ldset_4_3.o -MD -MP -MF ldset_4_3.dep -DL_ldset -DSIZE=4 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_8_3.o -MT swp_8_3.o -MD -MP -MF swp_8_3.dep -DL_swp -DSIZE=8 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_8_3.o -MT ldadd_8_3.o -MD -MP -MF ldadd_8_3.dep -DL_ldadd -DSIZE=8 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_8_3.o -MT ldclr_8_3.o -MD -MP -MF ldclr_8_3.dep -DL_ldclr -DSIZE=8 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_8_3.o -MT ldeor_8_3.o -MD -MP -MF ldeor_8_3.dep -DL_ldeor -DSIZE=8 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_8_3.o -MT ldset_8_3.o -MD -MP -MF ldset_8_3.dep -DL_ldset -DSIZE=8 -DMODEL=3 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_1_4.o -MT swp_1_4.o -MD -MP -MF swp_1_4.dep -DL_swp -DSIZE=1 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_1_4.o -MT ldadd_1_4.o -MD -MP -MF ldadd_1_4.dep -DL_ldadd -DSIZE=1 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_1_4.o -MT ldclr_1_4.o -MD -MP -MF ldclr_1_4.dep -DL_ldclr -DSIZE=1 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_1_4.o -MT ldeor_1_4.o -MD -MP -MF ldeor_1_4.dep -DL_ldeor -DSIZE=1 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_1_4.o -MT ldset_1_4.o -MD -MP -MF ldset_1_4.dep -DL_ldset -DSIZE=1 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_2_4.o -MT swp_2_4.o -MD -MP -MF swp_2_4.dep -DL_swp -DSIZE=2 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_2_4.o -MT ldadd_2_4.o -MD -MP -MF ldadd_2_4.dep -DL_ldadd -DSIZE=2 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_2_4.o -MT ldclr_2_4.o -MD -MP -MF ldclr_2_4.dep -DL_ldclr -DSIZE=2 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_2_4.o -MT ldeor_2_4.o -MD -MP -MF ldeor_2_4.dep -DL_ldeor -DSIZE=2 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_2_4.o -MT ldset_2_4.o -MD -MP -MF ldset_2_4.dep -DL_ldset -DSIZE=2 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_4_4.o -MT swp_4_4.o -MD -MP -MF swp_4_4.dep -DL_swp -DSIZE=4 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_4_4.o -MT ldadd_4_4.o -MD -MP -MF ldadd_4_4.dep -DL_ldadd -DSIZE=4 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_4_4.o -MT ldclr_4_4.o -MD -MP -MF ldclr_4_4.dep -DL_ldclr -DSIZE=4 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_4_4.o -MT ldeor_4_4.o -MD -MP -MF ldeor_4_4.dep -DL_ldeor -DSIZE=4 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_4_4.o -MT ldset_4_4.o -MD -MP -MF ldset_4_4.dep -DL_ldset -DSIZE=4 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_8_4.o -MT swp_8_4.o -MD -MP -MF swp_8_4.dep -DL_swp -DSIZE=8 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_8_4.o -MT ldadd_8_4.o -MD -MP -MF ldadd_8_4.dep -DL_ldadd -DSIZE=8 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_8_4.o -MT ldclr_8_4.o -MD -MP -MF ldclr_8_4.dep -DL_ldclr -DSIZE=8 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_8_4.o -MT ldeor_8_4.o -MD -MP -MF ldeor_8_4.dep -DL_ldeor -DSIZE=8 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_8_4.o -MT ldset_8_4.o -MD -MP -MF ldset_8_4.dep -DL_ldset -DSIZE=8 -DMODEL=4 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_1_5.o -MT swp_1_5.o -MD -MP -MF swp_1_5.dep -DL_swp -DSIZE=1 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_1_5.o -MT ldadd_1_5.o -MD -MP -MF ldadd_1_5.dep -DL_ldadd -DSIZE=1 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_1_5.o -MT ldclr_1_5.o -MD -MP -MF ldclr_1_5.dep -DL_ldclr -DSIZE=1 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_1_5.o -MT ldeor_1_5.o -MD -MP -MF ldeor_1_5.dep -DL_ldeor -DSIZE=1 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_1_5.o -MT ldset_1_5.o -MD -MP -MF ldset_1_5.dep -DL_ldset -DSIZE=1 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_2_5.o -MT swp_2_5.o -MD -MP -MF swp_2_5.dep -DL_swp -DSIZE=2 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_2_5.o -MT ldadd_2_5.o -MD -MP -MF ldadd_2_5.dep -DL_ldadd -DSIZE=2 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_2_5.o -MT ldclr_2_5.o -MD -MP -MF ldclr_2_5.dep -DL_ldclr -DSIZE=2 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_2_5.o -MT ldeor_2_5.o -MD -MP -MF ldeor_2_5.dep -DL_ldeor -DSIZE=2 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_2_5.o -MT ldset_2_5.o -MD -MP -MF ldset_2_5.dep -DL_ldset -DSIZE=2 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_4_5.o -MT swp_4_5.o -MD -MP -MF swp_4_5.dep -DL_swp -DSIZE=4 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_4_5.o -MT ldadd_4_5.o -MD -MP -MF ldadd_4_5.dep -DL_ldadd -DSIZE=4 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_4_5.o -MT ldclr_4_5.o -MD -MP -MF ldclr_4_5.dep -DL_ldclr -DSIZE=4 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_4_5.o -MT ldeor_4_5.o -MD -MP -MF ldeor_4_5.dep -DL_ldeor -DSIZE=4 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /bin/bash ./libtool --tag=CXX --mode=link aarch64-linux-gnu-g++-13 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_4_5.o -MT ldset_4_5.o -MD -MP -MF ldset_4_5.dep -DL_ldset -DSIZE=4 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o swp_8_5.o -MT swp_8_5.o -MD -MP -MF swp_8_5.dep -DL_swp -DSIZE=8 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldadd_8_5.o -MT ldadd_8_5.o -MD -MP -MF ldadd_8_5.dep -DL_ldadd -DSIZE=8 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldclr_8_5.o -MT ldclr_8_5.o -MD -MP -MF ldclr_8_5.dep -DL_ldclr -DSIZE=8 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldeor_8_5.o -MT ldeor_8_5.o -MD -MP -MF ldeor_8_5.dep -DL_ldeor -DSIZE=8 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o ldset_8_5.o -MT ldset_8_5.o -MD -MP -MF ldset_8_5.dep -DL_ldset -DSIZE=8 -DMODEL=5 -c ../../../src/libgcc/config/aarch64/lse.S libtool: link: aarch64-linux-gnu-g++-13 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu/crti.o /usr/lib/gcc/aarch64-linux-gnu/13/crtbeginS.o .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/aarch64-linux-gnu/13 -L/usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu -L/usr/lib/gcc/aarch64-linux-gnu/13/../../../../lib -L/lib/aarch64-linux-gnu -L/lib/../lib -L/usr/lib/aarch64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/aarch64-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/aarch64-linux-gnu/13/crtendS.o /usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o lse-init.o -MT lse-init.o -MD -MP -MF lse-init.dep -c ../../../src/libgcc/config/aarch64/lse-init.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS ../../../src/libgcc/config/aarch64/lse-init.c:45:1: warning: constructor priorities from 0 to 100 are reserved for the implementation [-Wprio-ctor-dtor] 45 | { | ^ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clear_cache.o -MT _clear_cache.o -MD -MP -MF _clear_cache.dep -DL_clear_cache -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _trampoline.o -MT _trampoline.o -MD -MP -MF _trampoline.dep -DL_trampoline -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o __main.o -MT __main.o -MD -MP -MF __main.dep -DL__main -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _absvsi2.o -MT _absvsi2.o -MD -MP -MF _absvsi2.dep -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _absvdi2.o -MT _absvdi2.o -MD -MP -MF _absvdi2.dep -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addvsi3.o -MT _addvsi3.o -MD -MP -MF _addvsi3.dep -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addvdi3.o -MT _addvdi3.o -MD -MP -MF _addvdi3.dep -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _subvsi3.o -MT _subvsi3.o -MD -MP -MF _subvsi3.dep -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _subvdi3.o -MT _subvdi3.o -MD -MP -MF _subvdi3.dep -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulvsi3.o -MT _mulvsi3.o -MD -MP -MF _mulvsi3.dep -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulvdi3.o -MT _mulvdi3.o -MD -MP -MF _mulvdi3.dep -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _negvsi2.o -MT _negvsi2.o -MD -MP -MF _negvsi2.dep -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _negvdi2.o -MT _negvdi2.o -MD -MP -MF _negvdi2.dep -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ctors.o -MT _ctors.o -MD -MP -MF _ctors.dep -DL_ctors -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ffssi2.o -MT _ffssi2.o -MD -MP -MF _ffssi2.dep -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ffsdi2.o -MT _ffsdi2.o -MD -MP -MF _ffsdi2.dep -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clz.o -MT _clz.o -MD -MP -MF _clz.dep -DL_clz -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clzsi2.o -MT _clzsi2.o -MD -MP -MF _clzsi2.dep -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clzdi2.o -MT _clzdi2.o -MD -MP -MF _clzdi2.dep -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ctzsi2.o -MT _ctzsi2.o -MD -MP -MF _ctzsi2.dep -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ctzdi2.o -MT _ctzdi2.o -MD -MP -MF _ctzdi2.dep -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _popcount_tab.o -MT _popcount_tab.o -MD -MP -MF _popcount_tab.dep -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _popcountsi2.o -MT _popcountsi2.o -MD -MP -MF _popcountsi2.dep -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _popcountdi2.o -MT _popcountdi2.o -MD -MP -MF _popcountdi2.dep -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _paritysi2.o -MT _paritysi2.o -MD -MP -MF _paritysi2.dep -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _paritydi2.o -MT _paritydi2.o -MD -MP -MF _paritydi2.dep -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powisf2.o -MT _powisf2.o -MD -MP -MF _powisf2.dep -DL_powisf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powidf2.o -MT _powidf2.o -MD -MP -MF _powidf2.dep -DL_powidf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powixf2.o -MT _powixf2.o -MD -MP -MF _powixf2.dep -DL_powixf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powitf2.o -MT _powitf2.o -MD -MP -MF _powitf2.dep -DL_powitf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulhc3.o -MT _mulhc3.o -MD -MP -MF _mulhc3.dep -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulsc3.o -MT _mulsc3.o -MD -MP -MF _mulsc3.dep -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _muldc3.o -MT _muldc3.o -MD -MP -MF _muldc3.dep -DL_muldc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulxc3.o -MT _mulxc3.o -MD -MP -MF _mulxc3.dep -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _multc3.o -MT _multc3.o -MD -MP -MF _multc3.dep -DL_multc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divhc3.o -MT _divhc3.o -MD -MP -MF _divhc3.dep -DL_divhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divsc3.o -MT _divsc3.o -MD -MP -MF _divsc3.dep -DL_divsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divdc3.o -MT _divdc3.o -MD -MP -MF _divdc3.dep -DL_divdc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divxc3.o -MT _divxc3.o -MD -MP -MF _divxc3.dep -DL_divxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divtc3.o -MT _divtc3.o -MD -MP -MF _divtc3.dep -DL_divtc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _bswapsi2.o -MT _bswapsi2.o -MD -MP -MF _bswapsi2.dep -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _bswapdi2.o -MT _bswapdi2.o -MD -MP -MF _bswapdi2.dep -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clrsbsi2.o -MT _clrsbsi2.o -MD -MP -MF _clrsbsi2.dep -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clrsbdi2.o -MT _clrsbdi2.o -MD -MP -MF _clrsbdi2.dep -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunssfsi.o -MT _fixunssfsi.o -MD -MP -MF _fixunssfsi.dep -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsdfsi.o -MT _fixunsdfsi.o -MD -MP -MF _fixunsdfsi.dep -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsxfsi.o -MT _fixunsxfsi.o -MD -MP -MF _fixunsxfsi.dep -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixsfdi.o -MT _fixsfdi.o -MD -MP -MF _fixsfdi.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixdfdi.o -MT _fixdfdi.o -MD -MP -MF _fixdfdi.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixxfdi.o -MT _fixxfdi.o -MD -MP -MF _fixxfdi.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunssfdi.o -MT _fixunssfdi.o -MD -MP -MF _fixunssfdi.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsdfdi.o -MT _fixunsdfdi.o -MD -MP -MF _fixunsdfdi.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsxfdi.o -MT _fixunsxfdi.o -MD -MP -MF _fixunsxfdi.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatdisf.o -MT _floatdisf.o -MD -MP -MF _floatdisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatdidf.o -MT _floatdidf.o -MD -MP -MF _floatdidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatdixf.o -MT _floatdixf.o -MD -MP -MF _floatdixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatundisf.o -MT _floatundisf.o -MD -MP -MF _floatundisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatundidf.o -MT _floatundidf.o -MD -MP -MF _floatundidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatundixf.o -MT _floatundixf.o -MD -MP -MF _floatundixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _eprintf.o -MT _eprintf.o -MD -MP -MF _eprintf.dep -DL_eprintf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o __gcc_bcmp.o -MT __gcc_bcmp.o -MD -MP -MF __gcc_bcmp.dep -DL__gcc_bcmp -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divdi3.o -MT _divdi3.o -MD -MP -MF _divdi3.dep -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _moddi3.o -MT _moddi3.o -MD -MP -MF _moddi3.dep -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divmoddi4.o -MT _divmoddi4.o -MD -MP -MF _divmoddi4.dep -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udivdi3.o -MT _udivdi3.o -MD -MP -MF _udivdi3.dep -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _umoddi3.o -MT _umoddi3.o -MD -MP -MF _umoddi3.dep -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udivmoddi4.o -MT _udivmoddi4.o -MD -MP -MF _udivmoddi4.dep -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udiv_w_sdiv.o -MT _udiv_w_sdiv.o -MD -MP -MF _udiv_w_sdiv.dep -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid_decimal_globals.o -MT bid_decimal_globals.o -MD -MP -MF bid_decimal_globals.dep -c ../../../src/libgcc/config/libbid/bid_decimal_globals.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid_decimal_data.o -MT bid_decimal_data.o -MD -MP -MF bid_decimal_data.dep -c ../../../src/libgcc/config/libbid/bid_decimal_data.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid_binarydecimal.o -MT bid_binarydecimal.o -MD -MP -MF bid_binarydecimal.dep -c ../../../src/libgcc/config/libbid/bid_binarydecimal.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid_convert_data.o -MT bid_convert_data.o -MD -MP -MF bid_convert_data.dep -c ../../../src/libgcc/config/libbid/bid_convert_data.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _isinfd32.o -MT _isinfd32.o -MD -MP -MF _isinfd32.dep -c ../../../src/libgcc/config/libbid/_isinfd32.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _isinfd64.o -MT _isinfd64.o -MD -MP -MF _isinfd64.dep -c ../../../src/libgcc/config/libbid/_isinfd64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _isinfd128.o -MT _isinfd128.o -MD -MP -MF _isinfd128.dep -c ../../../src/libgcc/config/libbid/_isinfd128.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_noncomp.o -MT bid64_noncomp.o -MD -MP -MF bid64_noncomp.dep -c ../../../src/libgcc/config/libbid/bid64_noncomp.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_noncomp.o -MT bid128_noncomp.o -MD -MP -MF bid128_noncomp.dep -c ../../../src/libgcc/config/libbid/bid128_noncomp.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_fma.o -MT bid128_fma.o -MD -MP -MF bid128_fma.dep -c ../../../src/libgcc/config/libbid/bid128_fma.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid_round.o -MT bid_round.o -MD -MP -MF bid_round.dep -c ../../../src/libgcc/config/libbid/bid_round.c mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo /bin/bash ./libtool --tag=CXX --mode=link aarch64-linux-gnu-g++-13 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a libtool: link: aarch64-linux-gnu-g++-13 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu/crti.o /usr/lib/gcc/aarch64-linux-gnu/13/crtbeginS.o .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/aarch64-linux-gnu/13 -L/usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu -L/usr/lib/gcc/aarch64-linux-gnu/13/../../../../lib -L/lib/aarch64-linux-gnu -L/lib/../lib -L/usr/lib/aarch64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/aarch64-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/aarch64-linux-gnu/13/crtendS.o /usr/lib/gcc/aarch64-linux-gnu/13/../../../aarch64-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid_from_int.o -MT bid_from_int.o -MD -MP -MF bid_from_int.dep -c ../../../src/libgcc/config/libbid/bid_from_int.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_add.o -MT bid64_add.o -MD -MP -MF bid64_add.dep -c ../../../src/libgcc/config/libbid/bid64_add.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_add.o -MT bid128_add.o -MD -MP -MF bid128_add.dep -c ../../../src/libgcc/config/libbid/bid128_add.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_div.o -MT bid64_div.o -MD -MP -MF bid64_div.dep -c ../../../src/libgcc/config/libbid/bid64_div.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_div.o -MT bid128_div.o -MD -MP -MF bid128_div.dep -c ../../../src/libgcc/config/libbid/bid128_div.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_mul.o -MT bid64_mul.o -MD -MP -MF bid64_mul.dep -c ../../../src/libgcc/config/libbid/bid64_mul.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_mul.o -MT bid128_mul.o -MD -MP -MF bid128_mul.dep -c ../../../src/libgcc/config/libbid/bid128_mul.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_compare.o -MT bid64_compare.o -MD -MP -MF bid64_compare.dep -c ../../../src/libgcc/config/libbid/bid64_compare.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_compare.o -MT bid128_compare.o -MD -MP -MF bid128_compare.dep -c ../../../src/libgcc/config/libbid/bid128_compare.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128.o -MT bid128.o -MD -MP -MF bid128.dep -c ../../../src/libgcc/config/libbid/bid128.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid32_to_bid64.o -MT bid32_to_bid64.o -MD -MP -MF bid32_to_bid64.dep -c ../../../src/libgcc/config/libbid/bid32_to_bid64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid32_to_bid128.o -MT bid32_to_bid128.o -MD -MP -MF bid32_to_bid128.dep -c ../../../src/libgcc/config/libbid/bid32_to_bid128.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_to_bid128.o -MT bid64_to_bid128.o -MD -MP -MF bid64_to_bid128.dep -c ../../../src/libgcc/config/libbid/bid64_to_bid128.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_to_int32.o -MT bid64_to_int32.o -MD -MP -MF bid64_to_int32.dep -c ../../../src/libgcc/config/libbid/bid64_to_int32.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_to_int64.o -MT bid64_to_int64.o -MD -MP -MF bid64_to_int64.dep -c ../../../src/libgcc/config/libbid/bid64_to_int64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_to_uint32.o -MT bid64_to_uint32.o -MD -MP -MF bid64_to_uint32.dep -c ../../../src/libgcc/config/libbid/bid64_to_uint32.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid64_to_uint64.o -MT bid64_to_uint64.o -MD -MP -MF bid64_to_uint64.dep -c ../../../src/libgcc/config/libbid/bid64_to_uint64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_to_int32.o -MT bid128_to_int32.o -MD -MP -MF bid128_to_int32.dep -c ../../../src/libgcc/config/libbid/bid128_to_int32.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_to_int64.o -MT bid128_to_int64.o -MD -MP -MF bid128_to_int64.dep -c ../../../src/libgcc/config/libbid/bid128_to_int64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_to_uint32.o -MT bid128_to_uint32.o -MD -MP -MF bid128_to_uint32.dep -c ../../../src/libgcc/config/libbid/bid128_to_uint32.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o bid128_to_uint64.o -MT bid128_to_uint64.o -MD -MP -MF bid128_to_uint64.dep -c ../../../src/libgcc/config/libbid/bid128_to_uint64.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addsub_sd.o -MT _addsub_sd.o -MD -MP -MF _addsub_sd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_addsub_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _div_sd.o -MT _div_sd.o -MD -MP -MF _div_sd.dep -DFINE_GRAINED_LIBRARIES -DL_div_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_div_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mul_sd.o -MT _mul_sd.o -MD -MP -MF _mul_sd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_mul_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _eq_sd.o -MT _eq_sd.o -MD -MP -MF _eq_sd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_eq_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ne_sd.o -MT _ne_sd.o -MD -MP -MF _ne_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_ne_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _lt_sd.o -MT _lt_sd.o -MD -MP -MF _lt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_lt_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gt_sd.o -MT _gt_sd.o -MD -MP -MF _gt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_gt_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _le_sd.o -MT _le_sd.o -MD -MP -MF _le_sd.dep -DFINE_GRAINED_LIBRARIES -DL_le_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_le_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ge_sd.o -MT _ge_sd.o -MD -MP -MF _ge_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_ge_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_si.o -MT _sd_to_si.o -MD -MP -MF _sd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_si -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_si.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_di.o -MT _sd_to_di.o -MD -MP -MF _sd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_di -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_di.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_usi.o -MT _sd_to_usi.o -MD -MP -MF _sd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_usi -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_usi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_udi.o -MT _sd_to_udi.o -MD -MP -MF _sd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_udi -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_udi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _si_to_sd.o -MT _si_to_sd.o -MD -MP -MF _si_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_si_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _di_to_sd.o -MT _di_to_sd.o -MD -MP -MF _di_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_di_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _usi_to_sd.o -MT _usi_to_sd.o -MD -MP -MF _usi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_usi_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udi_to_sd.o -MT _udi_to_sd.o -MD -MP -MF _udi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_udi_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_sf.o -MT _sd_to_sf.o -MD -MP -MF _sd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_sf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_sf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_df.o -MT _sd_to_df.o -MD -MP -MF _sd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_df -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_df.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_xf.o -MT _sd_to_xf.o -MD -MP -MF _sd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_xf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_xf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_tf.o -MT _sd_to_tf.o -MD -MP -MF _sd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_tf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_tf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sf_to_sd.o -MT _sf_to_sd.o -MD -MP -MF _sf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sf_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _df_to_sd.o -MT _df_to_sd.o -MD -MP -MF _df_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_df_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _xf_to_sd.o -MT _xf_to_sd.o -MD -MP -MF _xf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_xf_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _tf_to_sd.o -MT _tf_to_sd.o -MD -MP -MF _tf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_tf_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_dd.o -MT _sd_to_dd.o -MD -MP -MF _sd_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_dd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_td.o -MT _sd_to_td.o -MD -MP -MF _sd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_td -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _unord_sd.o -MT _unord_sd.o -MD -MP -MF _unord_sd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_unord_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _hf_to_sd.o -MT _hf_to_sd.o -MD -MP -MF _hf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_sd -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_hf_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sd_to_hf.o -MT _sd_to_hf.o -MD -MP -MF _sd_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_hf -DWIDTH=32 -c ../../../src/libgcc/config/libbid/_sd_to_hf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addsub_dd.o -MT _addsub_dd.o -MD -MP -MF _addsub_dd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_addsub_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _div_dd.o -MT _div_dd.o -MD -MP -MF _div_dd.dep -DFINE_GRAINED_LIBRARIES -DL_div_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_div_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mul_dd.o -MT _mul_dd.o -MD -MP -MF _mul_dd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_mul_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _eq_dd.o -MT _eq_dd.o -MD -MP -MF _eq_dd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_eq_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ne_dd.o -MT _ne_dd.o -MD -MP -MF _ne_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_ne_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _lt_dd.o -MT _lt_dd.o -MD -MP -MF _lt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_lt_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gt_dd.o -MT _gt_dd.o -MD -MP -MF _gt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_gt_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _le_dd.o -MT _le_dd.o -MD -MP -MF _le_dd.dep -DFINE_GRAINED_LIBRARIES -DL_le_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_le_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ge_dd.o -MT _ge_dd.o -MD -MP -MF _ge_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_ge_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_si.o -MT _dd_to_si.o -MD -MP -MF _dd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_si -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_si.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_di.o -MT _dd_to_di.o -MD -MP -MF _dd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_di -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_di.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_usi.o -MT _dd_to_usi.o -MD -MP -MF _dd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_usi -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_usi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_udi.o -MT _dd_to_udi.o -MD -MP -MF _dd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_udi -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_udi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _si_to_dd.o -MT _si_to_dd.o -MD -MP -MF _si_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_si_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _di_to_dd.o -MT _di_to_dd.o -MD -MP -MF _di_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_di_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _usi_to_dd.o -MT _usi_to_dd.o -MD -MP -MF _usi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_usi_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udi_to_dd.o -MT _udi_to_dd.o -MD -MP -MF _udi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_udi_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_sf.o -MT _dd_to_sf.o -MD -MP -MF _dd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_sf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_df.o -MT _dd_to_df.o -MD -MP -MF _dd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_df -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_df.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_xf.o -MT _dd_to_xf.o -MD -MP -MF _dd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_xf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_xf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_tf.o -MT _dd_to_tf.o -MD -MP -MF _dd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_tf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_tf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sf_to_dd.o -MT _sf_to_dd.o -MD -MP -MF _sf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_sf_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _df_to_dd.o -MT _df_to_dd.o -MD -MP -MF _df_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_df_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _xf_to_dd.o -MT _xf_to_dd.o -MD -MP -MF _xf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_xf_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _tf_to_dd.o -MT _tf_to_dd.o -MD -MP -MF _tf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_tf_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_sd.o -MT _dd_to_sd.o -MD -MP -MF _dd_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_td.o -MT _dd_to_td.o -MD -MP -MF _dd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_td -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _unord_dd.o -MT _unord_dd.o -MD -MP -MF _unord_dd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_unord_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _hf_to_dd.o -MT _hf_to_dd.o -MD -MP -MF _hf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_dd -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_hf_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _dd_to_hf.o -MT _dd_to_hf.o -MD -MP -MF _dd_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_hf -DWIDTH=64 -c ../../../src/libgcc/config/libbid/_dd_to_hf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addsub_td.o -MT _addsub_td.o -MD -MP -MF _addsub_td.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_addsub_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _div_td.o -MT _div_td.o -MD -MP -MF _div_td.dep -DFINE_GRAINED_LIBRARIES -DL_div_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_div_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mul_td.o -MT _mul_td.o -MD -MP -MF _mul_td.dep -DFINE_GRAINED_LIBRARIES -DL_mul_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_mul_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _eq_td.o -MT _eq_td.o -MD -MP -MF _eq_td.dep -DFINE_GRAINED_LIBRARIES -DL_eq_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_eq_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ne_td.o -MT _ne_td.o -MD -MP -MF _ne_td.dep -DFINE_GRAINED_LIBRARIES -DL_ne_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_ne_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _lt_td.o -MT _lt_td.o -MD -MP -MF _lt_td.dep -DFINE_GRAINED_LIBRARIES -DL_lt_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_lt_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gt_td.o -MT _gt_td.o -MD -MP -MF _gt_td.dep -DFINE_GRAINED_LIBRARIES -DL_gt_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_gt_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _le_td.o -MT _le_td.o -MD -MP -MF _le_td.dep -DFINE_GRAINED_LIBRARIES -DL_le_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_le_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ge_td.o -MT _ge_td.o -MD -MP -MF _ge_td.dep -DFINE_GRAINED_LIBRARIES -DL_ge_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_ge_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_si.o -MT _td_to_si.o -MD -MP -MF _td_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_si -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_si.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_di.o -MT _td_to_di.o -MD -MP -MF _td_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_di -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_di.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_usi.o -MT _td_to_usi.o -MD -MP -MF _td_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_usi -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_usi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_udi.o -MT _td_to_udi.o -MD -MP -MF _td_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_udi -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_udi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _si_to_td.o -MT _si_to_td.o -MD -MP -MF _si_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_si_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _di_to_td.o -MT _di_to_td.o -MD -MP -MF _di_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_di_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _usi_to_td.o -MT _usi_to_td.o -MD -MP -MF _usi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_usi_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udi_to_td.o -MT _udi_to_td.o -MD -MP -MF _udi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_udi_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_sf.o -MT _td_to_sf.o -MD -MP -MF _td_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_sf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_df.o -MT _td_to_df.o -MD -MP -MF _td_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_df -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_df.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_xf.o -MT _td_to_xf.o -MD -MP -MF _td_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_xf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_xf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_tf.o -MT _td_to_tf.o -MD -MP -MF _td_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_tf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_tf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _sf_to_td.o -MT _sf_to_td.o -MD -MP -MF _sf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_sf_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _df_to_td.o -MT _df_to_td.o -MD -MP -MF _df_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_df_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _xf_to_td.o -MT _xf_to_td.o -MD -MP -MF _xf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_xf_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _tf_to_td.o -MT _tf_to_td.o -MD -MP -MF _tf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_tf_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_sd.o -MT _td_to_sd.o -MD -MP -MF _td_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sd -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_sd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_dd.o -MT _td_to_dd.o -MD -MP -MF _td_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_dd -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_dd.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _unord_td.o -MT _unord_td.o -MD -MP -MF _unord_td.dep -DFINE_GRAINED_LIBRARIES -DL_unord_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_unord_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _hf_to_td.o -MT _hf_to_td.o -MD -MP -MF _hf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_td -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_hf_to_td.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _td_to_hf.o -MT _td_to_hf.o -MD -MP -MF _td_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_hf -DWIDTH=128 -c ../../../src/libgcc/config/libbid/_td_to_hf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o sync-cache.o -MT sync-cache.o -MD -MP -MF sync-cache.dep -c ../../../src/libgcc/config/aarch64/sync-cache.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o sfp-exceptions.o -MT sfp-exceptions.o -MD -MP -MF sfp-exceptions.dep -c ../../../src/libgcc/config/aarch64/sfp-exceptions.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o addtf3.o -MT addtf3.o -MD -MP -MF addtf3.dep -c ../../../src/libgcc/soft-fp/addtf3.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o divtf3.o -MT divtf3.o -MD -MP -MF divtf3.dep -c ../../../src/libgcc/soft-fp/divtf3.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o eqtf2.o -MT eqtf2.o -MD -MP -MF eqtf2.dep -c ../../../src/libgcc/soft-fp/eqtf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o getf2.o -MT getf2.o -MD -MP -MF getf2.dep -c ../../../src/libgcc/soft-fp/getf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o letf2.o -MT letf2.o -MD -MP -MF letf2.dep -c ../../../src/libgcc/soft-fp/letf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o multf3.o -MT multf3.o -MD -MP -MF multf3.dep -c ../../../src/libgcc/soft-fp/multf3.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o negtf2.o -MT negtf2.o -MD -MP -MF negtf2.dep -c ../../../src/libgcc/soft-fp/negtf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o subtf3.o -MT subtf3.o -MD -MP -MF subtf3.dep -c ../../../src/libgcc/soft-fp/subtf3.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o unordtf2.o -MT unordtf2.o -MD -MP -MF unordtf2.dep -c ../../../src/libgcc/soft-fp/unordtf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfsi.o -MT fixtfsi.o -MD -MP -MF fixtfsi.dep -c ../../../src/libgcc/soft-fp/fixtfsi.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfsi.o -MT fixunstfsi.o -MD -MP -MF fixunstfsi.dep -c ../../../src/libgcc/soft-fp/fixunstfsi.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatsitf.o -MT floatsitf.o -MD -MP -MF floatsitf.dep -c ../../../src/libgcc/soft-fp/floatsitf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunsitf.o -MT floatunsitf.o -MD -MP -MF floatunsitf.dep -c ../../../src/libgcc/soft-fp/floatunsitf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfdi.o -MT fixtfdi.o -MD -MP -MF fixtfdi.dep -c ../../../src/libgcc/soft-fp/fixtfdi.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfdi.o -MT fixunstfdi.o -MD -MP -MF fixunstfdi.dep -c ../../../src/libgcc/soft-fp/fixunstfdi.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatditf.o -MT floatditf.o -MD -MP -MF floatditf.dep -c ../../../src/libgcc/soft-fp/floatditf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunditf.o -MT floatunditf.o -MD -MP -MF floatunditf.dep -c ../../../src/libgcc/soft-fp/floatunditf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfti.o -MT fixtfti.o -MD -MP -MF fixtfti.dep -c ../../../src/libgcc/soft-fp/fixtfti.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfti.o -MT fixunstfti.o -MD -MP -MF fixunstfti.dep -c ../../../src/libgcc/soft-fp/fixunstfti.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floattitf.o -MT floattitf.o -MD -MP -MF floattitf.dep -c ../../../src/libgcc/soft-fp/floattitf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntitf.o -MT floatuntitf.o -MD -MP -MF floatuntitf.dep -c ../../../src/libgcc/soft-fp/floatuntitf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extendsftf2.o -MT extendsftf2.o -MD -MP -MF extendsftf2.dep -c ../../../src/libgcc/soft-fp/extendsftf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extenddftf2.o -MT extenddftf2.o -MD -MP -MF extenddftf2.dep -c ../../../src/libgcc/soft-fp/extenddftf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extendhftf2.o -MT extendhftf2.o -MD -MP -MF extendhftf2.dep -c ../../../src/libgcc/soft-fp/extendhftf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extendbfsf2.o -MT extendbfsf2.o -MD -MP -MF extendbfsf2.dep -c ../../../src/libgcc/soft-fp/extendbfsf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfsf2.o -MT trunctfsf2.o -MD -MP -MF trunctfsf2.dep -c ../../../src/libgcc/soft-fp/trunctfsf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfdf2.o -MT trunctfdf2.o -MD -MP -MF trunctfdf2.dep -c ../../../src/libgcc/soft-fp/trunctfdf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfhf2.o -MT trunctfhf2.o -MD -MP -MF trunctfhf2.dep -c ../../../src/libgcc/soft-fp/trunctfhf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfbf2.o -MT trunctfbf2.o -MD -MP -MF trunctfbf2.dep -c ../../../src/libgcc/soft-fp/trunctfbf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o truncdfbf2.o -MT truncdfbf2.o -MD -MP -MF truncdfbf2.dep -c ../../../src/libgcc/soft-fp/truncdfbf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o truncsfbf2.o -MT truncsfbf2.o -MD -MP -MF truncsfbf2.dep -c ../../../src/libgcc/soft-fp/truncsfbf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunchfbf2.o -MT trunchfbf2.o -MD -MP -MF trunchfbf2.dep -c ../../../src/libgcc/soft-fp/trunchfbf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixhfti.o -MT fixhfti.o -MD -MP -MF fixhfti.dep -c ../../../src/libgcc/soft-fp/fixhfti.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunshfti.o -MT fixunshfti.o -MD -MP -MF fixunshfti.dep -c ../../../src/libgcc/soft-fp/fixunshfti.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floattihf.o -MT floattihf.o -MD -MP -MF floattihf.dep -c ../../../src/libgcc/soft-fp/floattihf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntihf.o -MT floatuntihf.o -MD -MP -MF floatuntihf.dep -c ../../../src/libgcc/soft-fp/floatuntihf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatdibf.o -MT floatdibf.o -MD -MP -MF floatdibf.dep -c ../../../src/libgcc/soft-fp/floatdibf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatundibf.o -MT floatundibf.o -MD -MP -MF floatundibf.dep -c ../../../src/libgcc/soft-fp/floatundibf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floattibf.o -MT floattibf.o -MD -MP -MF floattibf.dep -c ../../../src/libgcc/soft-fp/floattibf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntibf.o -MT floatuntibf.o -MD -MP -MF floatuntibf.dep -c ../../../src/libgcc/soft-fp/floatuntibf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_topn_values_profiler_atomic.o -MT _gcov_topn_values_profiler_atomic.o -MD -MP -MF _gcov_topn_values_profiler_atomic.dep -DL_gcov_topn_values_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_ior_profiler.o -MT _gcov_ior_profiler.o -MD -MP -MF _gcov_ior_profiler.dep -DL_gcov_ior_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_ior_profiler_atomic.o -MT _gcov_ior_profiler_atomic.o -MD -MP -MF _gcov_ior_profiler_atomic.dep -DL_gcov_ior_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_indirect_call_profiler_v4.o -MT _gcov_indirect_call_profiler_v4.o -MD -MP -MF _gcov_indirect_call_profiler_v4.dep -DL_gcov_indirect_call_profiler_v4 -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_time_profiler.o -MT _gcov_time_profiler.o -MD -MP -MF _gcov_time_profiler.dep -DL_gcov_time_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_dump.o -MT _gcov_dump.o -MD -MP -MF _gcov_dump.dep -DL_gcov_dump -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_fork.o -MT _gcov_fork.o -MD -MP -MF _gcov_fork.dep -DL_gcov_fork -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_execl.o -MT _gcov_execl.o -MD -MP -MF _gcov_execl.dep -DL_gcov_execl -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_execlp.o -MT _gcov_execlp.o -MD -MP -MF _gcov_execlp.dep -DL_gcov_execlp -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_execle.o -MT _gcov_execle.o -MD -MP -MF _gcov_execle.dep -DL_gcov_execle -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_execv.o -MT _gcov_execv.o -MD -MP -MF _gcov_execv.dep -DL_gcov_execv -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_execvp.o -MT _gcov_execvp.o -MD -MP -MF _gcov_execvp.dep -DL_gcov_execvp -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_execve.o -MT _gcov_execve.o -MD -MP -MF _gcov_execve.dep -DL_gcov_execve -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_reset.o -MT _gcov_reset.o -MD -MP -MF _gcov_reset.dep -DL_gcov_reset -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_lock_unlock.o -MT _gcov_lock_unlock.o -MD -MP -MF _gcov_lock_unlock.dep -DL_gcov_lock_unlock -c ../../../src/libgcc/libgcov-interface.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov.o -MT _gcov.o -MD -MP -MF _gcov.dep -DL_gcov -c ../../../src/libgcc/libgcov-driver.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _gcov_info_to_gcda.o -MT _gcov_info_to_gcda.o -MD -MP -MF _gcov_info_to_gcda.dep -DL_gcov_info_to_gcda -c ../../../src/libgcc/libgcov-driver.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-dw2.o -MT unwind-dw2.o -MD -MP -MF unwind-dw2.dep -fexceptions -c ../../../src/libgcc/unwind-dw2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-dw2-fde-dip.o -MT unwind-dw2-fde-dip.o -MD -MP -MF unwind-dw2-fde-dip.dep -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c -fvisibility=hidden -DHIDE_EXPORTS In file included from ./md-unwind-support.h:24, from ../../../src/libgcc/unwind-dw2.c:410: ../../../src/libgcc/config/aarch64/aarch64-unwind.h: In function ‘aarch64_cie_signed_with_b_key’: ../../../src/libgcc/config/aarch64/aarch64-unwind.h:43:27: warning: initialization discards ‘const’ qualifier from pointer target type [-Wdiscarded-qualifiers] 43 | char *aug_str = cie->augmentation; | ^~~ ./md-unwind-support.h: In function ‘aarch64_fallback_frame_state’: ./md-unwind-support.h:80:6: warning: assignment to ‘struct sigcontext *’ from incompatible pointer type ‘mcontext_t *’ [-Wincompatible-pointer-types] 80 | sc = &rt_->uc.uc_mcontext; | ^ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-sjlj.o -MT unwind-sjlj.o -MD -MP -MF unwind-sjlj.dep -fexceptions -c ../../../src/libgcc/unwind-sjlj.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-c.o -MT unwind-c.o -MD -MP -MF unwind-c.dep -fexceptions -c ../../../src/libgcc/unwind-c.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o emutls.o -MT emutls.o -MD -MP -MF emutls.dep -fexceptions -c ../../../src/libgcc/emutls.c -fvisibility=hidden -DHIDE_EXPORTS /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _muldi3_s.o -MT _muldi3_s.o -MD -MP -MF _muldi3_s.dep -DSHARED -DL_muldi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _negdi2_s.o -MT _negdi2_s.o -MD -MP -MF _negdi2_s.dep -DSHARED -DL_negdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _cmpdi2_s.o -MT _cmpdi2_s.o -MD -MP -MF _cmpdi2_s.dep -DSHARED -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ucmpdi2_s.o -MT _ucmpdi2_s.o -MD -MP -MF _ucmpdi2_s.dep -DSHARED -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -DL_clear_cache -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _trampoline_s.o -MT _trampoline_s.o -MD -MP -MF _trampoline_s.dep -DSHARED -DL_trampoline -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o __main_s.o -MT __main_s.o -MD -MP -MF __main_s.dep -DSHARED -DL__main -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _absvsi2_s.o -MT _absvsi2_s.o -MD -MP -MF _absvsi2_s.dep -DSHARED -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _absvdi2_s.o -MT _absvdi2_s.o -MD -MP -MF _absvdi2_s.dep -DSHARED -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addvsi3_s.o -MT _addvsi3_s.o -MD -MP -MF _addvsi3_s.dep -DSHARED -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _addvdi3_s.o -MT _addvdi3_s.o -MD -MP -MF _addvdi3_s.dep -DSHARED -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _subvsi3_s.o -MT _subvsi3_s.o -MD -MP -MF _subvsi3_s.dep -DSHARED -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _subvdi3_s.o -MT _subvdi3_s.o -MD -MP -MF _subvdi3_s.dep -DSHARED -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulvsi3_s.o -MT _mulvsi3_s.o -MD -MP -MF _mulvsi3_s.dep -DSHARED -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulvdi3_s.o -MT _mulvdi3_s.o -MD -MP -MF _mulvdi3_s.dep -DSHARED -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _negvsi2_s.o -MT _negvsi2_s.o -MD -MP -MF _negvsi2_s.dep -DSHARED -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _negvdi2_s.o -MT _negvdi2_s.o -MD -MP -MF _negvdi2_s.dep -DSHARED -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ctors_s.o -MT _ctors_s.o -MD -MP -MF _ctors_s.dep -DSHARED -DL_ctors -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ffssi2_s.o -MT _ffssi2_s.o -MD -MP -MF _ffssi2_s.dep -DSHARED -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ffsdi2_s.o -MT _ffsdi2_s.o -MD -MP -MF _ffsdi2_s.dep -DSHARED -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clz_s.o -MT _clz_s.o -MD -MP -MF _clz_s.dep -DSHARED -DL_clz -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _ctzdi2_s.o -MT _ctzdi2_s.o -MD -MP -MF _ctzdi2_s.dep -DSHARED -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _popcount_tab_s.o -MT _popcount_tab_s.o -MD -MP -MF _popcount_tab_s.dep -DSHARED -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _popcountsi2_s.o -MT _popcountsi2_s.o -MD -MP -MF _popcountsi2_s.dep -DSHARED -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _popcountdi2_s.o -MT _popcountdi2_s.o -MD -MP -MF _popcountdi2_s.dep -DSHARED -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _paritysi2_s.o -MT _paritysi2_s.o -MD -MP -MF _paritysi2_s.dep -DSHARED -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _paritydi2_s.o -MT _paritydi2_s.o -MD -MP -MF _paritydi2_s.dep -DSHARED -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powisf2_s.o -MT _powisf2_s.o -MD -MP -MF _powisf2_s.dep -DSHARED -DL_powisf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powidf2_s.o -MT _powidf2_s.o -MD -MP -MF _powidf2_s.dep -DSHARED -DL_powidf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powixf2_s.o -MT _powixf2_s.o -MD -MP -MF _powixf2_s.dep -DSHARED -DL_powixf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _powitf2_s.o -MT _powitf2_s.o -MD -MP -MF _powitf2_s.dep -DSHARED -DL_powitf2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulhc3_s.o -MT _mulhc3_s.o -MD -MP -MF _mulhc3_s.dep -DSHARED -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulsc3_s.o -MT _mulsc3_s.o -MD -MP -MF _mulsc3_s.dep -DSHARED -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _muldc3_s.o -MT _muldc3_s.o -MD -MP -MF _muldc3_s.dep -DSHARED -DL_muldc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _mulxc3_s.o -MT _mulxc3_s.o -MD -MP -MF _mulxc3_s.dep -DSHARED -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _multc3_s.o -MT _multc3_s.o -MD -MP -MF _multc3_s.dep -DSHARED -DL_multc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divhc3_s.o -MT _divhc3_s.o -MD -MP -MF _divhc3_s.dep -DSHARED -DL_divhc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divsc3_s.o -MT _divsc3_s.o -MD -MP -MF _divsc3_s.dep -DSHARED -DL_divsc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divdc3_s.o -MT _divdc3_s.o -MD -MP -MF _divdc3_s.dep -DSHARED -DL_divdc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divxc3_s.o -MT _divxc3_s.o -MD -MP -MF _divxc3_s.dep -DSHARED -DL_divxc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divtc3_s.o -MT _divtc3_s.o -MD -MP -MF _divtc3_s.dep -DSHARED -DL_divtc3 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _bswapsi2_s.o -MT _bswapsi2_s.o -MD -MP -MF _bswapsi2_s.dep -DSHARED -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _bswapdi2_s.o -MT _bswapdi2_s.o -MD -MP -MF _bswapdi2_s.dep -DSHARED -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clrsbsi2_s.o -MT _clrsbsi2_s.o -MD -MP -MF _clrsbsi2_s.dep -DSHARED -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _clrsbdi2_s.o -MT _clrsbdi2_s.o -MD -MP -MF _clrsbdi2_s.dep -DSHARED -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunssfsi_s.o -MT _fixunssfsi_s.o -MD -MP -MF _fixunssfsi_s.dep -DSHARED -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsdfsi_s.o -MT _fixunsdfsi_s.o -MD -MP -MF _fixunsdfsi_s.dep -DSHARED -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsxfsi_s.o -MT _fixunsxfsi_s.o -MD -MP -MF _fixunsxfsi_s.dep -DSHARED -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixsfdi_s.o -MT _fixsfdi_s.o -MD -MP -MF _fixsfdi_s.dep -DSHARED -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixdfdi_s.o -MT _fixdfdi_s.o -MD -MP -MF _fixdfdi_s.dep -DSHARED -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixxfdi_s.o -MT _fixxfdi_s.o -MD -MP -MF _fixxfdi_s.dep -DSHARED -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunssfdi_s.o -MT _fixunssfdi_s.o -MD -MP -MF _fixunssfdi_s.dep -DSHARED -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsdfdi_s.o -MT _fixunsdfdi_s.o -MD -MP -MF _fixunsdfdi_s.dep -DSHARED -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _fixunsxfdi_s.o -MT _fixunsxfdi_s.o -MD -MP -MF _fixunsxfdi_s.dep -DSHARED -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatdisf_s.o -MT _floatdisf_s.o -MD -MP -MF _floatdisf_s.dep -DSHARED -DL_floatdisf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatdidf_s.o -MT _floatdidf_s.o -MD -MP -MF _floatdidf_s.dep -DSHARED -DL_floatdidf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatdixf_s.o -MT _floatdixf_s.o -MD -MP -MF _floatdixf_s.dep -DSHARED -DL_floatdixf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatundisf_s.o -MT _floatundisf_s.o -MD -MP -MF _floatundisf_s.dep -DSHARED -DL_floatundisf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatundidf_s.o -MT _floatundidf_s.o -MD -MP -MF _floatundidf_s.dep -DSHARED -DL_floatundidf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _floatundixf_s.o -MT _floatundixf_s.o -MD -MP -MF _floatundixf_s.dep -DSHARED -DL_floatundixf -c ../../../src/libgcc/libgcc2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divdi3_s.o -MT _divdi3_s.o -MD -MP -MF _divdi3_s.dep -DSHARED -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _moddi3_s.o -MT _moddi3_s.o -MD -MP -MF _moddi3_s.dep -DSHARED -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _divmoddi4_s.o -MT _divmoddi4_s.o -MD -MP -MF _divmoddi4_s.dep -DSHARED -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udivdi3_s.o -MT _udivdi3_s.o -MD -MP -MF _udivdi3_s.dep -DSHARED -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _umoddi3_s.o -MT _umoddi3_s.o -MD -MP -MF _umoddi3_s.dep -DSHARED -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udivmoddi4_s.o -MT _udivmoddi4_s.o -MD -MP -MF _udivmoddi4_s.dep -DSHARED -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o _udiv_w_sdiv_s.o -MT _udiv_w_sdiv_s.o -MD -MP -MF _udiv_w_sdiv_s.dep -DSHARED -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o sync-cache_s.o -MT sync-cache_s.o -MD -MP -MF sync-cache_s.dep -DSHARED -c ../../../src/libgcc/config/aarch64/sync-cache.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o sfp-exceptions_s.o -MT sfp-exceptions_s.o -MD -MP -MF sfp-exceptions_s.dep -DSHARED -c ../../../src/libgcc/config/aarch64/sfp-exceptions.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o addtf3_s.o -MT addtf3_s.o -MD -MP -MF addtf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/addtf3.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o divtf3_s.o -MT divtf3_s.o -MD -MP -MF divtf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/divtf3.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o eqtf2_s.o -MT eqtf2_s.o -MD -MP -MF eqtf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/eqtf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o getf2_s.o -MT getf2_s.o -MD -MP -MF getf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/getf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o letf2_s.o -MT letf2_s.o -MD -MP -MF letf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/letf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o multf3_s.o -MT multf3_s.o -MD -MP -MF multf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/multf3.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o negtf2_s.o -MT negtf2_s.o -MD -MP -MF negtf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/negtf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o subtf3_s.o -MT subtf3_s.o -MD -MP -MF subtf3_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/subtf3.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o unordtf2_s.o -MT unordtf2_s.o -MD -MP -MF unordtf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/unordtf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfsi_s.o -MT fixtfsi_s.o -MD -MP -MF fixtfsi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixtfsi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfsi_s.o -MT fixunstfsi_s.o -MD -MP -MF fixunstfsi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunstfsi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatsitf_s.o -MT floatsitf_s.o -MD -MP -MF floatsitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatsitf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunsitf_s.o -MT floatunsitf_s.o -MD -MP -MF floatunsitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatunsitf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfdi_s.o -MT fixtfdi_s.o -MD -MP -MF fixtfdi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixtfdi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfdi_s.o -MT fixunstfdi_s.o -MD -MP -MF fixunstfdi_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunstfdi.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatditf_s.o -MT floatditf_s.o -MD -MP -MF floatditf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatditf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatunditf_s.o -MT floatunditf_s.o -MD -MP -MF floatunditf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatunditf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixtfti_s.o -MT fixtfti_s.o -MD -MP -MF fixtfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixtfti.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunstfti_s.o -MT fixunstfti_s.o -MD -MP -MF fixunstfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunstfti.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floattitf_s.o -MT floattitf_s.o -MD -MP -MF floattitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floattitf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntitf_s.o -MT floatuntitf_s.o -MD -MP -MF floatuntitf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatuntitf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extendsftf2_s.o -MT extendsftf2_s.o -MD -MP -MF extendsftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendsftf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extenddftf2_s.o -MT extenddftf2_s.o -MD -MP -MF extenddftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extenddftf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extendhftf2_s.o -MT extendhftf2_s.o -MD -MP -MF extendhftf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendhftf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o extendbfsf2_s.o -MT extendbfsf2_s.o -MD -MP -MF extendbfsf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/extendbfsf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfsf2_s.o -MT trunctfsf2_s.o -MD -MP -MF trunctfsf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfsf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfdf2_s.o -MT trunctfdf2_s.o -MD -MP -MF trunctfdf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfdf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfhf2_s.o -MT trunctfhf2_s.o -MD -MP -MF trunctfhf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfhf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunctfbf2_s.o -MT trunctfbf2_s.o -MD -MP -MF trunctfbf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunctfbf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o truncdfbf2_s.o -MT truncdfbf2_s.o -MD -MP -MF truncdfbf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/truncdfbf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o truncsfbf2_s.o -MT truncsfbf2_s.o -MD -MP -MF truncsfbf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/truncsfbf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o trunchfbf2_s.o -MT trunchfbf2_s.o -MD -MP -MF trunchfbf2_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/trunchfbf2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixhfti_s.o -MT fixhfti_s.o -MD -MP -MF fixhfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixhfti.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o fixunshfti_s.o -MT fixunshfti_s.o -MD -MP -MF fixunshfti_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/fixunshfti.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floattihf_s.o -MT floattihf_s.o -MD -MP -MF floattihf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floattihf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntihf_s.o -MT floatuntihf_s.o -MD -MP -MF floatuntihf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatuntihf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatdibf_s.o -MT floatdibf_s.o -MD -MP -MF floatdibf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatdibf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatundibf_s.o -MT floatundibf_s.o -MD -MP -MF floatundibf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatundibf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floattibf_s.o -MT floattibf_s.o -MD -MP -MF floattibf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floattibf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -Wno-missing-prototypes -Wno-type-limits -o floatuntibf_s.o -MT floatuntibf_s.o -MD -MP -MF floatuntibf_s.dep -DSHARED -c ../../../src/libgcc/soft-fp/floatuntibf.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o enable-execute-stack_s.o -MT enable-execute-stack_s.o -MD -MP -MF enable-execute-stack_s.dep -DSHARED -c enable-execute-stack.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-dw2_s.o -MT unwind-dw2_s.o -MD -MP -MF unwind-dw2_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-dw2-fde-dip_s.o -MT unwind-dw2-fde-dip_s.o -MD -MP -MF unwind-dw2-fde-dip_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-sjlj_s.o -MT unwind-sjlj_s.o -MD -MP -MF unwind-sjlj_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-sjlj.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o unwind-c_s.o -MT unwind-c_s.o -MD -MP -MF unwind-c_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-c.c In file included from ./md-unwind-support.h:24, from ../../../src/libgcc/unwind-dw2.c:410: ../../../src/libgcc/config/aarch64/aarch64-unwind.h: In function ‘aarch64_cie_signed_with_b_key’: ../../../src/libgcc/config/aarch64/aarch64-unwind.h:43:27: warning: initialization discards ‘const’ qualifier from pointer target type [-Wdiscarded-qualifiers] 43 | char *aug_str = cie->augmentation; | ^~~ ./md-unwind-support.h: In function ‘aarch64_fallback_frame_state’: ./md-unwind-support.h:80:6: warning: assignment to ‘struct sigcontext *’ from incompatible pointer type ‘mcontext_t *’ [-Wincompatible-pointer-types] 80 | sc = &rt_->uc.uc_mcontext; | ^ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o emutls_s.o -MT emutls_s.o -MD -MP -MF emutls_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/emutls.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtbegin.o -MT crtbegin.o -MD -MP -MF crtbegin.dep -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtbeginS.o -MT crtbeginS.o -MD -MP -MF crtbeginS.dep -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFS_O /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtbeginT.o -MT crtbeginT.o -MD -MP -MF crtbeginT.dep -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFT_O /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtend.o -MT crtend.o -MD -MP -MF crtend.dep -c ../../../src/libgcc/crtstuff.c -DCRT_END /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtendS.o -MT crtendS.o -MD -MP -MF crtendS.dep -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_END -DCRTSTUFFS_O /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -o crtfastmath.o -MT crtfastmath.o -MD -MP -MF crtfastmath.dep -c ../../../src/libgcc/config/aarch64/crtfastmath.c /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtoffloadbegin.o -MT crtoffloadbegin.o -MD -MP -MF crtoffloadbegin.dep -c ../../../src/libgcc/offloadstuff.c -DCRT_BEGIN /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtoffloadend.o -MT crtoffloadend.o -MD -MP -MF crtoffloadend.dep -c ../../../src/libgcc/offloadstuff.c -DCRT_END /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -o crtoffloadtable.o -MT crtoffloadtable.o -MD -MP -MF crtoffloadtable.dep -c ../../../src/libgcc/offloadstuff.c -DCRT_TABLE rm -f libgcc.a objects="cas_1_1.o cas_2_1.o cas_4_1.o cas_8_1.o cas_16_1.o cas_1_2.o cas_2_2.o cas_4_2.o cas_8_2.o cas_16_2.o cas_1_3.o cas_2_3.o cas_4_3.o cas_8_3.o cas_16_3.o cas_1_4.o cas_2_4.o cas_4_4.o cas_8_4.o cas_16_4.o cas_1_5.o cas_2_5.o cas_4_5.o cas_8_5.o cas_16_5.o swp_1_1.o ldadd_1_1.o ldclr_1_1.o ldeor_1_1.o ldset_1_1.o swp_2_1.o ldadd_2_1.o ldclr_2_1.o ldeor_2_1.o ldset_2_1.o swp_4_1.o ldadd_4_1.o ldclr_4_1.o ldeor_4_1.o ldset_4_1.o swp_8_1.o ldadd_8_1.o ldclr_8_1.o ldeor_8_1.o ldset_8_1.o swp_1_2.o ldadd_1_2.o ldclr_1_2.o ldeor_1_2.o ldset_1_2.o swp_2_2.o ldadd_2_2.o ldclr_2_2.o ldeor_2_2.o ldset_2_2.o swp_4_2.o ldadd_4_2.o ldclr_4_2.o ldeor_4_2.o ldset_4_2.o swp_8_2.o ldadd_8_2.o ldclr_8_2.o ldeor_8_2.o ldset_8_2.o swp_1_3.o ldadd_1_3.o ldclr_1_3.o ldeor_1_3.o ldset_1_3.o swp_2_3.o ldadd_2_3.o ldclr_2_3.o ldeor_2_3.o ldset_2_3.o swp_4_3.o ldadd_4_3.o ldclr_4_3.o ldeor_4_3.o ldset_4_3.o swp_8_3.o ldadd_8_3.o ldclr_8_3.o ldeor_8_3.o ldset_8_3.o swp_1_4.o ldadd_1_4.o ldclr_1_4.o ldeor_1_4.o ldset_1_4.o swp_2_4.o ldadd_2_4.o ldclr_2_4.o ldeor_2_4.o ldset_2_4.o swp_4_4.o ldadd_4_4.o ldclr_4_4.o ldeor_4_4.o ldset_4_4.o swp_8_4.o ldadd_8_4.o ldclr_8_4.o ldeor_8_4.o ldset_8_4.o swp_1_5.o ldadd_1_5.o ldclr_1_5.o ldeor_1_5.o ldset_1_5.o swp_2_5.o ldadd_2_5.o ldclr_2_5.o ldeor_2_5.o ldset_2_5.o swp_4_5.o ldadd_4_5.o ldclr_4_5.o ldeor_4_5.o ldset_4_5.o swp_8_5.o ldadd_8_5.o ldclr_8_5.o ldeor_8_5.o ldset_8_5.o lse-init.o _muldi3.o _negdi2.o _lshrdi3.o _ashldi3.o _ashrdi3.o _cmpdi2.o _ucmpdi2.o _clear_cache.o _trampoline.o __main.o _absvsi2.o _absvdi2.o _addvsi3.o _addvdi3.o _subvsi3.o _subvdi3.o _mulvsi3.o _mulvdi3.o _negvsi2.o _negvdi2.o _ctors.o _ffssi2.o _ffsdi2.o _clz.o _clzsi2.o _clzdi2.o _ctzsi2.o _ctzdi2.o _popcount_tab.o _popcountsi2.o _popcountdi2.o _paritysi2.o _paritydi2.o _powisf2.o _powidf2.o _powixf2.o _powitf2.o _mulhc3.o _mulsc3.o _muldc3.o _mulxc3.o _multc3.o _divhc3.o _divsc3.o _divdc3.o _divxc3.o _divtc3.o _bswapsi2.o _bswapdi2.o _clrsbsi2.o _clrsbdi2.o _fixunssfsi.o _fixunsdfsi.o _fixunsxfsi.o _fixsfdi.o _fixdfdi.o _fixxfdi.o _fixunssfdi.o _fixunsdfdi.o _fixunsxfdi.o _floatdisf.o _floatdidf.o _floatdixf.o _floatundisf.o _floatundidf.o _floatundixf.o _eprintf.o __gcc_bcmp.o _divdi3.o _moddi3.o _divmoddi4.o _udivdi3.o _umoddi3.o _udivmoddi4.o _udiv_w_sdiv.o bid_decimal_globals.o bid_decimal_data.o bid_binarydecimal.o bid_convert_data.o _isinfd32.o _isinfd64.o _isinfd128.o bid64_noncomp.o bid128_noncomp.o bid128_fma.o bid_round.o bid_from_int.o bid64_add.o bid128_add.o bid64_div.o bid128_div.o bid64_mul.o bid128_mul.o bid64_compare.o bid128_compare.o bid128.o bid32_to_bid64.o bid32_to_bid128.o bid64_to_bid128.o bid64_to_int32.o bid64_to_int64.o bid64_to_uint32.o bid64_to_uint64.o bid128_to_int32.o bid128_to_int64.o bid128_to_uint32.o bid128_to_uint64.o _addsub_sd.o _div_sd.o _mul_sd.o _eq_sd.o _ne_sd.o _lt_sd.o _gt_sd.o _le_sd.o _ge_sd.o _sd_to_si.o _sd_to_di.o _sd_to_usi.o _sd_to_udi.o _si_to_sd.o _di_to_sd.o _usi_to_sd.o _udi_to_sd.o _sd_to_sf.o _sd_to_df.o _sd_to_xf.o _sd_to_tf.o _sf_to_sd.o _df_to_sd.o _xf_to_sd.o _tf_to_sd.o _sd_to_dd.o _sd_to_td.o _unord_sd.o _hf_to_sd.o _sd_to_hf.o _addsub_dd.o _div_dd.o _mul_dd.o _eq_dd.o _ne_dd.o _lt_dd.o _gt_dd.o _le_dd.o _ge_dd.o _dd_to_si.o _dd_to_di.o _dd_to_usi.o _dd_to_udi.o _si_to_dd.o _di_to_dd.o _usi_to_dd.o _udi_to_dd.o _dd_to_sf.o _dd_to_df.o _dd_to_xf.o _dd_to_tf.o _sf_to_dd.o _df_to_dd.o _xf_to_dd.o _tf_to_dd.o _dd_to_sd.o _dd_to_td.o _unord_dd.o _hf_to_dd.o _dd_to_hf.o _addsub_td.o _div_td.o _mul_td.o _eq_td.o _ne_td.o _lt_td.o _gt_td.o _le_td.o _ge_td.o _td_to_si.o _td_to_di.o _td_to_usi.o _td_to_udi.o _si_to_td.o _di_to_td.o _usi_to_td.o _udi_to_td.o _td_to_sf.o _td_to_df.o _td_to_xf.o _td_to_tf.o _sf_to_td.o _df_to_td.o _xf_to_td.o _tf_to_td.o _td_to_sd.o _td_to_dd.o _unord_td.o _hf_to_td.o _td_to_hf.o sync-cache.o sfp-exceptions.o addtf3.o divtf3.o eqtf2.o getf2.o letf2.o multf3.o negtf2.o subtf3.o unordtf2.o fixtfsi.o fixunstfsi.o floatsitf.o floatunsitf.o fixtfdi.o fixunstfdi.o floatditf.o floatunditf.o fixtfti.o fixunstfti.o floattitf.o floatuntitf.o extendsftf2.o extenddftf2.o extendhftf2.o extendbfsf2.o trunctfsf2.o trunctfdf2.o trunctfhf2.o trunctfbf2.o truncdfbf2.o truncsfbf2.o trunchfbf2.o fixhfti.o fixunshfti.o floattihf.o floatuntihf.o floatdibf.o floatundibf.o floattibf.o floatuntibf.o enable-execute-stack.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ aarch64-linux-gnu-ar rc libgcc.a $objects rm -f libgcov.a objects="_gcov_merge_add.o _gcov_merge_topn.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_topn_values_profiler.o _gcov_topn_values_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v4.o _gcov_time_profiler.o _gcov_dump.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov_lock_unlock.o _gcov.o _gcov_info_to_gcda.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ aarch64-linux-gnu-ar rc libgcov.a $objects aarch64-linux-gnu-ranlib libgcov.a rm -f libgcc_eh.a objects="unwind-dw2.o unwind-dw2-fde-dip.o unwind-sjlj.o unwind-c.o emutls.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/config/libbid -DENABLE_DECIMAL_BID_FORMAT -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ aarch64-linux-gnu-ar rc libgcc_eh.a $objects aarch64-linux-gnu-ranlib libgcc.a aarch64-linux-gnu-ranlib libgcc_eh.a # Early copyback; see "all" above for the rationale. The # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ aarch64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done { /<>/builddir/gcc/build/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o sync-cache_s.o sfp-exceptions_s.o addtf3_s.o divtf3_s.o eqtf2_s.o getf2_s.o letf2_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendsftf2_s.o extenddftf2_s.o extendhftf2_s.o extendbfsf2_s.o trunctfsf2_s.o trunctfdf2_s.o trunctfhf2_s.o trunctfbf2_s.o truncdfbf2_s.o truncsfbf2_s.o trunchfbf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o floatdibf_s.o floatundibf_s.o floattibf_s.o floatuntibf_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ cat libgcc.map.in; \ } | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map mv tmp-libgcc.map libgcc.map # @multilib_flags@ is still needed because this may use # /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o sync-cache_s.o sfp-exceptions_s.o addtf3_s.o divtf3_s.o eqtf2_s.o getf2_s.o letf2_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendsftf2_s.o extenddftf2_s.o extendhftf2_s.o extendbfsf2_s.o trunctfsf2_s.o trunctfdf2_s.o trunctfhf2_s.o trunctfbf2_s.o truncdfbf2_s.o truncsfbf2_s.o trunchfbf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o floatdibf_s.o floatundibf_s.o floattibf_s.o floatuntibf_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a aarch64-linux-gnu-ranlib ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a aarch64-linux-gnu-ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a aarch64-linux-gnu-ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ aarch64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' # For some reason, on the i386 architecture only, it decides to delete # one important build result. Just rerun make as a workaround. /usr/bin/make -j4 -C /<>/builddir/gcc/build make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' make[5]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty/testsuite' make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libiberty' make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/libcody' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/libcody' true DO=all multi-do # /usr/bin/make make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/fixincludes' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[4]: Leaving directory '/<>/builddir/gcc/build/build-aarch64-linux-gnu/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/gcc' gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' make[4]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' /usr/bin/make all-am make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' # If this is the top-level multilib, build all the other CONFIG_FILES= CONFIG_HEADERS=auto-target.h:../../../src/libgcc/config.in /bin/bash ./config.status # multilibs. dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h make[5]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' # Early copyback; see "all" above for the rationale. The config.status: creating auto-target.h # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ aarch64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done config.status: auto-target.h is unchanged config.status: executing default commands # @multilib_flags@ is still needed because this may use # /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /<>/builddir/gcc/build/./gcc/xgcc -B/<>/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/aarch64-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/include -isystem /usr/lib/ghdl/gcc/aarch64-linux-gnu/sys-include -isystem /<>/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o sync-cache_s.o sfp-exceptions_s.o addtf3_s.o divtf3_s.o eqtf2_s.o getf2_s.o letf2_s.o multf3_s.o negtf2_s.o subtf3_s.o unordtf2_s.o fixtfsi_s.o fixunstfsi_s.o floatsitf_s.o floatunsitf_s.o fixtfdi_s.o fixunstfdi_s.o floatditf_s.o floatunditf_s.o fixtfti_s.o fixunstfti_s.o floattitf_s.o floatuntitf_s.o extendsftf2_s.o extenddftf2_s.o extendhftf2_s.o extendbfsf2_s.o trunctfsf2_s.o trunctfdf2_s.o trunctfhf2_s.o trunctfbf2_s.o truncdfbf2_s.o truncsfbf2_s.o trunchfbf2_s.o fixhfti_s.o fixunshfti_s.o floattihf_s.o floatuntihf_s.o floatdibf_s.o floatundibf_s.o floattibf_s.o floatuntibf_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so make[5]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a aarch64-linux-gnu-ranlib ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a aarch64-linux-gnu-ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a aarch64-linux-gnu-ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ aarch64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' /usr/bin/make -C /<>/builddir/gcc ghdllib \ GHDL_GCC_BIN=/<>/builddir/gcc/build/gcc/ghdl \ GHDL1_GCC_BIN="--GHDL1=/<>/builddir/gcc/build/gcc/ghdl1" make[2]: Entering directory '/<>/builddir/gcc' make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc/vhdl" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/<>/builddir/gcc/build/gcc/ghdl" GHDL_FLAGS="--GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/<>/builddir/gcc' sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf cd lib/ghdl/gcc/vhdl/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/gcc/vhdl/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/gcc/vhdl/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf cd lib/ghdl/gcc/vhdl/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf cd lib/ghdl/gcc/vhdl/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf cd lib/ghdl/gcc/vhdl/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/gcc/vhdl/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/gcc/vhdl/src/std/env-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf cd lib/ghdl/gcc/vhdl/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env.vhdl /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/gcc/vhdl/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/gcc/vhdl/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf cd lib/ghdl/gcc/vhdl/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /<>/builddir/gcc/build/gcc/ghdl -a --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/gcc/vhdl/src/ieee2008/LICENSE cd lib/ghdl/gcc/vhdl/std/v87; /<>/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=87 /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found cd lib/ghdl/gcc/vhdl/std/v93; /<>/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=93 /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found cd lib/ghdl/gcc/vhdl/std/v08; /<>/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/<>/builddir/gcc/build/gcc/ghdl1 --std=08 /<>/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found make[3]: Leaving directory '/<>/builddir/gcc' gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o jumps.o /<>/builddir/gcc/../../src/grt/config/jumps.c /<>/builddir/gcc/../../src/grt/config/jumps.c:136:1: warning: ‘grt_overflow_handler’ defined but not used [-Wunused-function] 136 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o times.o /<>/builddir/gcc/../../src/grt/config/times.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cstdio.o /<>/builddir/gcc/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cgnatrts.o /<>/builddir/gcc/../../src/grt/grt-cgnatrts.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-no_sundials_c.o /<>/builddir/gcc/../../src/grt/grt-no_sundials_c.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cvpi.o /<>/builddir/gcc/../../src/grt/grt-cvpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cvhpi.o /<>/builddir/gcc/../../src/grt/grt-cvhpi.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o grt-cdynload.o /<>/builddir/gcc/../../src/grt/grt-cdynload.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o fstapi.o /<>/builddir/gcc/../../src/grt/fst/fstapi.c -I/<>/builddir/gcc/../../src/grt/fst gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o lz4.o /<>/builddir/gcc/../../src/grt/fst/lz4.c gcc-13 -c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o fastlz.o /<>/builddir/gcc/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/ghdl_main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada ghdl_main.adb:45:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:45:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:45:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors.adb "grt-heap.ali" being checked ... -> "grt-heap.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-heap.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-main.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-modules.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-no_analog_solver.ali" being checked ... -> "grt-no_analog_solver.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-no_analog_solver.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-options.ali" being checked ... -> "grt-options.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_binding.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_rti.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcd.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vcdz.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vital_annotate.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-waves.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-change_generics.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_lib.ali" being checked ... -> "grt-files_lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-hooks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-images.ali" being checked ... -> "grt-images.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-images.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-names.ali" being checked ... -> "grt-names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-processes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-shadow_ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-values.ali" being checked ... -> "grt-values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-astdio-vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/builddir/gcc/grt-backtraces-impl.ads "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-errors_exec.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_addr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-file.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-fst_api.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-wave_opt-design.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rtis_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-zlib.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avhpi_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-sdf.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-callbacks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files.ali" being checked ... -> "grt-files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/builddir/gcc/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-avls.adb "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-ghw.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-analog_solver.ali" being checked ... -> "grt-analog_solver.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-analog_solver.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-disp_signals.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-threads.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-stack2.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-backtraces-gcc.ali" being checked ... -> "grt-backtraces-gcc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-backtraces-gcc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-vstrings_io.adb "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. aarch64-linux-gnu-gcc-13 -c -I./ -I/<>/builddir/gcc/../../src/grt -I.. -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -I- /<>/src/grt/grt-unithread.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. aarch64-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. aarch64-linux-gnu-gcc-13 -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. aarch64-linux-gnu-gcc-13 -c -I/<>/builddir/gcc/../../src/grt/ -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /<>/builddir/gcc/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o pic/grt-cstdio.o /<>/builddir/gcc/../../src/grt/grt-cstdio.c gcc-13 -c -fPIC -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -o pic/grt-cdynload.o /<>/builddir/gcc/../../src/grt/grt-cdynload.c VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j4 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-4_0_0.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "lib/libghdl-4_0_0.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/libghdl.o /<>/src/vhdl/libghdl/libghdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout.ali" being checked ... -> "errorout.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/errorout.o /<>/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/errorout-memory.o /<>/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/files_map.o /<>/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/files_map-editor.o /<>/src/files_map-editor.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlcomp.o /<>/src/ghdldrv/ghdlcomp.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdllocal.o /<>/src/ghdldrv/ghdllocal.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "options.ali" being checked ... -> "options.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/options.o /<>/src/options.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl.ali" being checked ... -> "vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl.o /<>/src/vhdl/vhdl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-formatters.o /<>/src/vhdl/vhdl-formatters.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlsynth_maybe.o /<>/builddir/gcc/ghdlsynth_maybe.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types.ali" being checked ... -> "types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/types.o /<>/src/types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes.o /<>/src/vhdl/vhdl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/dyn_tables.o /<>/src/dyn_tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "tables.ali" being checked ... -> "tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/tables.o /<>/src/tables.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_table.ali" being checked ... -> "name_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/name_table.o /<>/src/name_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "str_table.ali" being checked ... -> "str_table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/str_table.o /<>/src/str_table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "logging.ali" being checked ... -> "logging.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/logging.o /<>/src/logging.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab.ali" being checked ... -> "elab.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab.o /<>/src/synth/elab.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_objtypes.o /<>/src/synth/elab-vhdl_objtypes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "file_comments.ali" being checked ... -> "file_comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/file_comments.o /<>/src/file_comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flags.ali" being checked ... -> "flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/flags.o /<>/src/flags.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "libraries.ali" being checked ... -> "libraries.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/libraries.o /<>/src/libraries.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl.ali" being checked ... -> "psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl.o /<>/src/psl/psl.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-dump_tree.o /<>/src/psl/psl-dump_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nodes.o /<>/src/psl/psl-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "simple_io.ali" being checked ... -> "simple_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/simple_io.o /<>/src/simple_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "std_names.ali" being checked ... -> "std_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/std_names.o /<>/src/std_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-back_end.o /<>/src/vhdl/vhdl-back_end.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-disp_tree.o /<>/src/vhdl/vhdl-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-errors.o /<>/src/vhdl/vhdl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-lists.o /<>/src/vhdl/vhdl-lists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-parse.o /<>/src/vhdl/vhdl-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-scanner.o /<>/src/vhdl/vhdl-scanner.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlmain.o /<>/src/ghdldrv/ghdlmain.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-configuration.o /<>/src/vhdl/vhdl-configuration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem.o /<>/src/vhdl/vhdl-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_lib.o /<>/src/vhdl/vhdl-sem_lib.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-std_package.o /<>/src/vhdl/vhdl-std_package.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-utils.o /<>/src/vhdl/vhdl-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlsynth.o /<>/src/ghdldrv/ghdlsynth.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "filesystem.ali" being checked ... -> "filesystem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/filesystem.o /<>/src/filesystem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-types.o /<>/src/vhdl/vhdl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt.ali" being checked ... -> "grt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt.o /<>/src/grt/grt.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-vstrings.o /<>/src/grt/grt-vstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "utils_io.ali" being checked ... -> "utils_io.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/utils_io.o /<>/src/utils_io.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-prints.o /<>/src/vhdl/vhdl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-tokens.o /<>/src/vhdl/vhdl-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-algos.o /<>/src/grt/grt-algos.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "default_paths.ali" being checked ... -> "default_paths.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/default_paths.o /<>/builddir/gcc/default_paths.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "version.ali" being checked ... -> "version.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/version.o /<>/builddir/gcc/version.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-errors.o /<>/src/psl/psl-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nodes_meta.o /<>/src/psl/psl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-types.ali" being checked ... -> "psl-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-types.o /<>/src/psl/psl-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "mutils.ali" being checked ... -> "mutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/mutils.o /<>/src/mutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "types_utils.ali" being checked ... -> "types_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/types_utils.o /<>/src/synth/types_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "areapools.ali" being checked ... -> "areapools.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/areapools.o /<>/src/areapools.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-memtype.o /<>/src/synth/elab-memtype.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-types.ali" being checked ... -> "grt-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-types.o /<>/src/grt/grt-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-hash.o /<>/src/psl/psl-hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_specs.o /<>/src/vhdl/vhdl-sem_specs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_meta.o /<>/src/vhdl/vhdl-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "lists.ali" being checked ... -> "lists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/lists.o /<>/src/lists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "bug.ali" being checked ... -> "bug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/bug.o /<>/src/bug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/errorout-console.o /<>/src/errorout-console.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-fcvt.o /<>/src/grt/grt-fcvt.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-canon.o /<>/src/vhdl/vhdl-canon.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-evaluation.o /<>/src/vhdl/vhdl-evaluation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_walk.o /<>/src/vhdl/vhdl-nodes_walk.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_scopes.o /<>/src/vhdl/vhdl-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_gc.o /<>/src/vhdl/vhdl-nodes_gc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-post_sems.o /<>/src/vhdl/vhdl-post_sems.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-elocations.o /<>/src/vhdl/vhdl-elocations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee.o /<>/src/vhdl/vhdl-ieee.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_1164.o /<>/src/vhdl/vhdl-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_utils.o /<>/src/vhdl/vhdl-nodes_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_assocs.o /<>/src/vhdl/vhdl-sem_assocs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_decls.o /<>/src/vhdl/vhdl-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_expr.o /<>/src/vhdl/vhdl-sem_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_inst.o /<>/src/vhdl/vhdl-sem_inst.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_names.o /<>/src/vhdl/vhdl-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_psl.o /<>/src/vhdl/vhdl-sem_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_stmts.o /<>/src/vhdl/vhdl-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_types.o /<>/src/vhdl/vhdl-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sem_utils.o /<>/src/vhdl/vhdl-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-xrefs.o /<>/src/vhdl/vhdl-xrefs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-nodes_priv.o /<>/src/vhdl/vhdl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-flists.o /<>/src/vhdl/vhdl-flists.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-c.ali" being checked ... -> "grt-c.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-c.o /<>/src/grt/grt-c.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-debugger.o /<>/src/synth/elab-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_annotations.o /<>/src/synth/elab-vhdl_annotations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_context.o /<>/src/synth/elab-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_errors.o /<>/src/synth/elab-vhdl_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_insts.o /<>/src/synth/elab-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/ghdlverilog.o /<>/src/ghdldrv/ghdlverilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists.ali" being checked ... -> "netlists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists.o /<>/src/synth/netlists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-disp_dot.o /<>/src/synth/netlists-disp_dot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-disp_verilog.o /<>/src/synth/netlists-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-disp_vhdl.o /<>/src/synth/netlists-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-dump.o /<>/src/synth/netlists-dump.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-errors.o /<>/src/synth/netlists-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-inference.o /<>/src/synth/netlists-inference.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-rename.o /<>/src/synth/netlists-rename.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth.ali" being checked ... -> "synth.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth.o /<>/src/synth/synth.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-disp_vhdl.o /<>/src/synth/synth-disp_vhdl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-flags.o /<>/src/synth/synth-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_context.o /<>/src/synth/synth-vhdl_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_foreign.o /<>/src/synth/synth-vhdl_foreign.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synthesis.ali" being checked ... -> "synthesis.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synthesis.o /<>/src/synth/synthesis.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-comments.o /<>/src/vhdl/vhdl-comments.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-parse_psl.o /<>/src/vhdl/vhdl-parse_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nodes_priv.o /<>/src/psl/psl-nodes_priv.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-build.ali" being checked ... -> "psl-build.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-build.o /<>/src/psl/psl-build.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nfas.o /<>/src/psl/psl-nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-nfas-utils.o /<>/src/psl/psl-nfas-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-rewrites.o /<>/src/psl/psl-rewrites.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-canon_psl.o /<>/src/vhdl/vhdl-canon_psl.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-prints.o /<>/src/psl/psl-prints.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-priorities.o /<>/src/psl/psl-priorities.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-math_real.o /<>/src/vhdl/vhdl-ieee-math_real.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-numeric.o /<>/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-numeric_std_unsigned.o /<>/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_arith.o /<>/src/vhdl/vhdl-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_misc.o /<>/src/vhdl/vhdl-ieee-std_logic_misc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-std_logic_unsigned.o /<>/src/vhdl/vhdl-ieee-std_logic_unsigned.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-ieee-vital_timing.o /<>/src/vhdl/vhdl-ieee-vital_timing.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-std_env.o /<>/src/vhdl/vhdl-std_env.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-elocations_meta.o /<>/src/vhdl/vhdl-elocations_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_types.o /<>/src/synth/elab-vhdl_types.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-to_strings.o /<>/src/grt/grt-to_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-vhdl_types.o /<>/src/grt/grt-vhdl_types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_eval.o /<>/src/synth/synth-vhdl_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-subsets.o /<>/src/psl/psl-subsets.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/vhdl-sensitivity_checks.o /<>/src/vhdl/vhdl-sensitivity_checks.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "flists.ali" being checked ... -> "flists.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/flists.o /<>/src/flists.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "debuggers.ali" being checked ... -> "debuggers.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/debuggers.o /<>/src/debuggers.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_context-debug.o /<>/src/synth/elab-vhdl_context-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_debug.o /<>/src/synth/elab-vhdl_debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_insts.o /<>/src/synth/synth-verilog_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_insts.o /<>/src/synth/synth-vhdl_insts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog.ali" being checked ... -> "verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog.o /<>/src/verilog/verilog.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-elaborate.o /<>/src/verilog/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-errors.o /<>/src/verilog/verilog-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-find_top.o /<>/src/verilog/verilog-find_top.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-flags.o /<>/src/verilog/verilog-flags.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-nodes.o /<>/src/verilog/verilog-nodes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-nutils.o /<>/src/verilog/verilog-nutils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-parse.o /<>/src/verilog/verilog-parse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-scans.o /<>/src/verilog/verilog-scans.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem.o /<>/src/verilog/verilog-sem.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_instances.o /<>/src/verilog/verilog-sem_instances.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_scopes.o /<>/src/verilog/verilog-sem_scopes.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_types.o /<>/src/verilog/verilog-sem_types.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-vhdl_export.o /<>/src/verilog/verilog-vhdl_export.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-vpi.o /<>/src/verilog/verilog-vpi.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_values.o /<>/src/synth/elab-vhdl_values.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-iterators.o /<>/src/synth/netlists-iterators.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-utils.o /<>/src/synth/netlists-utils.adb "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_utils.o /<>/src/synth/elab-vhdl_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_decls.o /<>/src/synth/elab-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_expr.o /<>/src/synth/elab-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_files.o /<>/src/synth/elab-vhdl_files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_stmts.o /<>/src/synth/elab-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_expr.o /<>/src/synth/synth-vhdl_expr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_stmts.o /<>/src/synth/synth-vhdl_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-gates.o /<>/src/synth/netlists-gates.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-locations.o /<>/src/synth/netlists-locations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-severity.o /<>/src/grt/grt-severity.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-folds.o /<>/src/synth/netlists-folds.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-gates_ports.o /<>/src/synth/netlists-gates_ports.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-internings.o /<>/src/synth/netlists-internings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-memories.o /<>/src/synth/netlists-memories.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-errors.o /<>/src/synth/synth-errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-builders.o /<>/src/synth/netlists-builders.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-context.ali" being checked ... -> "synth-context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-context.o /<>/src/synth/synth-context.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_environment.o /<>/src/synth/synth-vhdl_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_values-debug.o /<>/src/synth/elab-vhdl_values-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-cleanup.o /<>/src/synth/netlists-cleanup.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-expands.o /<>/src/synth/netlists-expands.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/dyn_interning.o /<>/src/dyn_interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/dyn_maps.o /<>/src/dyn_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-dynload.o /<>/src/grt/grt-dynload.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "hash.ali" being checked ... -> "hash.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/hash.o /<>/src/hash.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "interning.ali" being checked ... -> "interning.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/interning.o /<>/src/interning.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-cse.o /<>/src/psl/psl-cse.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-disp_nfas.o /<>/src/psl/psl-disp_nfas.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-optimize.o /<>/src/psl/psl-optimize.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/psl-qm.o /<>/src/psl/psl-qm.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-strings.o /<>/src/grt/grt-strings.adb "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-readline.o /<>/builddir/gcc/grt-readline.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_heap.o /<>/src/synth/elab-vhdl_heap.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_context.o /<>/src/synth/synth-verilog_context.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_elaboration.o /<>/src/synth/synth-verilog_elaboration.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_environment.o /<>/src/synth/synth-verilog_environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_exprs.o /<>/src/synth/synth-verilog_exprs.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_sources.o /<>/src/synth/synth-verilog_sources.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_stmts.o /<>/src/synth/synth-verilog_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_values.o /<>/src/synth/synth-verilog_values.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-allocates.o /<>/src/verilog/verilog-allocates.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-bignums.o /<>/src/verilog/verilog-bignums.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-disp_verilog.o /<>/src/verilog/verilog-disp_verilog.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_names.o /<>/src/verilog/verilog-sem_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-storages.o /<>/src/verilog/verilog-storages.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-tokens.o /<>/src/verilog/verilog-tokens.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-concats.o /<>/src/synth/netlists-concats.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-source.ali" being checked ... -> "synth-source.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-source.o /<>/src/synth/synth-source.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_decls.o /<>/src/synth/synth-vhdl_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_oper.o /<>/src/synth/synth-vhdl_oper.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-arith.o /<>/src/grt/grt-arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee.o /<>/src/synth/synth-ieee.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-numeric_std.o /<>/src/synth/synth-ieee-numeric_std.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-std_logic_1164.o /<>/src/synth/synth-ieee-std_logic_1164.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-std_logic_arith.o /<>/src/synth/synth-ieee-std_logic_arith.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-resolve_names.o /<>/src/verilog/verilog-resolve_names.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_decls.o /<>/src/verilog/verilog-sem_decls.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_eval.o /<>/src/verilog/verilog-sem_eval.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_expr.o /<>/src/verilog/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_stmts.o /<>/src/verilog/verilog-sem_stmts.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_upwards.o /<>/src/verilog/verilog-sem_upwards.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sem_utils.o /<>/src/verilog/verilog-sem_utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-standard.o /<>/src/verilog/verilog-standard.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-types.o /<>/src/verilog/verilog-types.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-nodes_meta.o /<>/src/verilog/verilog-nodes_meta.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-astdio.o /<>/src/grt/grt-astdio.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-stdio.o /<>/src/grt/grt-stdio.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "name_maps.ali" being checked ... -> "name_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/name_maps.o /<>/src/name_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-bn_tables.o /<>/src/verilog/verilog-bn_tables.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-macros.o /<>/src/verilog/verilog-macros.ads "grt-files.ali" being checked ... -> "grt-files.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-files.o /<>/src/grt/grt-files.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-executions.o /<>/src/verilog/verilog-executions.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-simulation.o /<>/src/verilog/verilog-simulation.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_strings.o /<>/src/verilog/verilog-sv_strings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-files_operations.o /<>/src/grt/grt-files_operations.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-rstrings.o /<>/src/grt/grt-rstrings.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_aggr.o /<>/src/synth/synth-vhdl_aggr.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/elab-vhdl_prot.o /<>/src/synth/elab-vhdl_prot.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-vhdl_static_proc.o /<>/src/synth/synth-vhdl_static_proc.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/netlists-butils.o /<>/src/synth/netlists-butils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-readline_none.o /<>/src/grt/grt-readline_none.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-environment.o /<>/src/synth/synth-environment.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-environment-debug.o /<>/src/synth/synth-environment-debug.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-verilog_errors.o /<>/src/synth/synth-verilog_errors.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-abi.o /<>/src/verilog/verilog-abi.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_arrays.o /<>/src/verilog/verilog-sv_arrays.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_classes.o /<>/src/verilog/verilog-sv_classes.ads gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_maps.o /<>/src/verilog/verilog-sv_maps.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-sv_queues.o /<>/src/verilog/verilog-sv_queues.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-disp_tree.o /<>/src/verilog/verilog-disp_tree.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/synth-ieee-utils.o /<>/src/synth/synth-ieee-utils.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "grt-table.ali" being checked ... -> "grt-table.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/grt-table.o /<>/src/grt/grt-table.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. aarch64-linux-gnu-gcc-13 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/builddir/gcc/pic/verilog-debugger.o /<>/src/verilog/verilog-debugger.adb gnat1: warning: command-line option ‘-Wformat=0’ is valid for C/C++/ObjC/ObjC++ but not for Ada End of compilation aarch64-linux-gnu-gnatbind-13 -aI. -aO/<>/builddir/gcc/pic -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<>/builddir/gcc/pic/libghdl.ali aarch64-linux-gnu-gnatlink-13 /<>/builddir/gcc/pic/libghdl.ali -shared-libgcc -o lib/libghdl-4_0_0.so -g -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -fPIC -g -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-4_0_0.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o pic/grt-cdynload.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-13 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 gcc-13 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-13 -fPIC -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/<>/builddir/gcc' touch /<>/builddir/stamps/build-gcc dh override_dh_auto_build make[1]: Leaving directory '/<>' debian/rules override_dh_auto_test make[1]: Entering directory '/<>' dh_testdir ------------------------------------------------------------ Run testsuite for llvm backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. /usr/bin/make -C /<>/builddir/llvm install DESTDIR=/<>/testrundir/llvm make[2]: Entering directory '/<>/builddir/llvm' mkdir -p "/<>/testrundir/llvm/usr" mkdir -p "/<>/testrundir/llvm/usr/bin" mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm" mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl" mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl" VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-13" \ CXXFLAGS=" -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5" \ GNATMAKE="gnatmake -v -j4 -R -eS " all make[3]: Entering directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 \ `llvm-config --ldflags --libs --system-libs` -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "filesystem.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-coverage.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "mutils.ali" being checked ... "types_utils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "grt-types.ali" being checked ... "grt-algos.ali" being checked ... "utils_io.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "elab-vhdl_types.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt-c.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-errors.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "elab-vhdl_context.ali" being checked ... "grt-strings.ali" being checked ... "grt-arith.ali" being checked ... "netlists.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "synth-source.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-files.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-builders.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-files_operations.ali" being checked ... "grt-stdio.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "grt-table.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "grt-dynload.ali" being checked ... "synthesis.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "grt-readline.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-verilog_insts.ali" being checked ... "grt-readline_none.ali" being checked ... "netlists-butils.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "verilog.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "verilog-vpi.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-standard.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-sv_strings.ali" being checked ... "verilog-disp_tree.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "name_maps.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-astdio.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "filesystem.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "version.ali" being checked ... "std_names.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "verilog-vpi.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "mutils.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "grt-c.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-severity.ali" being checked ... "grt-dynload.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "grt-readline.ali" being checked ... "grt-table.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm /<>/testrundir/llvm/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm /<>/testrundir/llvm/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. aarch64-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/libgrt.a /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/vhdl/grt.lst /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/grt-exec.lst /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/vhdl/grt-shared.lst /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /<>/builddir/llvm/../../src/grt/grt.ver /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 /<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/llvm/vhdl/$d/* "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/"; mkdir -p "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p lib/ghdl/llvm/libghdlvpi.so "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vpi_user.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vhpi_user.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 755 -p libghdl.a "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p libghdl.link "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p ../../src/synth/include/synth.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/<>/testrundir/llvm/usr/bin/" install -m 755 -p lib/libghw.so "/<>/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p ../../ghw/libghw.h "/<>/testrundir/llvm/usr/lib/ghdl/include/ghdl/" "/<>/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=87 > "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl" "/<>/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=93 > "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl" "/<>/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=08 > "/<>/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/llvm' # Temporarily make LLVM backend testsuite failure non-fatal to more # easily collect data for investigating the PSL testsuite failures on # some architectures debian/tests/ghdl-tests buildtest llvm > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /<>/testrundir/llvm/usr/bin/ghdl-llvm GHDL 4.0.0 (Ubuntu 4.0.0+dfsg-0ubuntu5) [Dunoon edition] Compiled with GNAT Version: 13.2.0 llvm 18.1.2 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2024 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /<>/testrundir/llvm/usr/bin/ghdl-llvm COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version help-options Display help for analyzer options alias: --help-options, opts-help, --options-help help-warnings Display help about all the warnings alias: --help-warnings To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 4 test workers. sanity 005examples: ok sanity 008coverage: ok sanity 006upf: ok sanity 000hello: ok sanity 002hello2008: ok sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna ..Running with 4 test workers. gna issue223: ok gna issue2233: ok gna issue2235: ok gna issue2238: ok gna issue2239: ok gna issue465: ok gna issue1364: ok gna issue1370: ok gna issue1371: ok gna bug01: ok gna issue467: ok gna issue470: ok gna issue471: ok gna issue472: ok gna issue473: ok gna issue474: ok gna bug010: ok gna issue2244: ok gna issue1376: ok gna issue1377: ok gna issue476: ok gna issue478: ok gna issue1379: ok gna issue225: ok gna issue1381: ok gna issue1384: ok gna issue2250: ok gna issue1385: ok gna bug0100: ok gna bug0101: ok gna issue1386: ok gna issue2264: ok gna issue2267: ok gna bug0103: ok gna bug0104: ok gna issue2269: ok gna issue1387: ok gna issue1389: ok gna issue227: ok gna issue2271: ok gna issue1392: ok gna issue2276: ok gna bug0105: ok gna issue2277: ok gna bug0106: ok gna bug0108: ok gna issue14: ok gna issue140: ok gna issue228: ok gna issue1403: ok gna issue2288: ok gna issue1404: ok gna issue1405: ok gna issue141: ok gna issue1412: ok gna bug0109: ok gna issue2299: ok gna issue1415: ok gna issue2303: ok gna issue2306: ok gna issue2307: ok gna issue1416: ok gna bug011: ok gna bug0110: ok gna bug0111: ok gna bug0112: ok gna bug0114: ok gna bug0115: ok gna issue1419: ok gna issue2323: ok gna issue2326: ok gna issue1420: ok gna issue233: ok gna issue2333: ok gna issue1425: ok gna issue1429: ok gna issue143: ok gna issue2336: ok gna bug0117: ok gna bug0118: ok gna bug012: ok gna bug0120: ok gna issue1431: ok gna bug0122: ok gna issue144: ok gna issue2337: ok gna issue2344: ok gna bug0123: ok gna bug0124: ok gna bug0125: ok gna bug0126: ok gna bug0128: ok gna issue1440: ok gna issue1443: ok gna issue2346: ok gna issue2349: ok gna bug0129: ok gna bug0130: ok gna issue1453: ok gna issue235: ok gna issue1455: ok gna issue1456: ok gna issue2350: ok gna issue1461: ok gna bug0131: ok gna issue1469: ok gna issue2353: ok gna issue147: ok gna issue1473: ok gna bug0133: ok gna issue2356: ok gna bug0134: ok gna bug0135: ok gna issue2357: ok gna issue2365: ok gna issue1474: ok gna issue2368: ok gna issue148: ok gna issue1480: ok gna issue1485: ok gna issue1486: ok gna bug0136: ok gna issue1490: ok gna bug0137: ok gna bug0138: ok gna bug0139: ok gna bug014: ok gna issue237: ok gna issue1493: ok gna issue15: ok gna bug015: ok gna bug016: ok gna issue150: ok gna issue1500: ok gna issue151: ok gna issue1514: ok gna issue1515: ok gna issue1517: ok gna issue152: ok gna issue238: ok gna issue2381: ok gna issue1523: ok gna issue2382: ok gna issue1527: ok gna issue2383: ok gna issue2388: ok gna issue1528: ok gna issue2393: ok gna issue50: ok gna issue516: ok gna issue2395: ok gna issue520: ok gna issue521: ok gna issue2396: ok gna issue24: ok gna issue2402: ok gna issue2407: ok gna issue522: ok gna issue524: ok gna issue525: ok gna issue1549: ok gna issue241: ok gna issue155: ok gna issue529: ok gna issue156: ok gna issue2410: ok gna issue158: ok gna issue530: ok gna issue1588: ok gna issue1589: ok gna issue531: ok gna issue535: ok gna issue1593: ok gna issue542: ok gna bug017: ok gna issue1597: ok gna bug018: ok gna issue543: ok gna issue160: ok gna issue1602: ok gna issue544: ok gna issue1612: ok gna issue1623: ok gna issue546: ok gna issue1624: ok gna issue547: ok gna issue548: ok gna issue2417: ok gna issue242: ok gna issue552: ok gna issue553: ok gna issue558: ok gna issue1625: ok gna issue559: ok gna issue560: ok gna issue163: ok gna issue2421: ok gna issue1631: ok gna issue1637: ok gna issue1639: ok gna issue561: ok gna issue1640: ok gna issue1646: ok gna issue563: ok gna issue1654: ok gna issue578: ok gna issue584: ok gna issue586: ok gna issue2422: ok gna issue598: ok gna issue606: ok gna issue609: ok gna issue2424: ok gna issue2425: ok gna issue1655: ok gna issue610: ok gna issue613: ok gna issue1657: ok gna issue1664: ok gna issue615: ok gna issue1667: ok gna issue167: ok gna issue2427: ok gna issue616: ok gna issue2429: ok gna issue1672: ok gna issue243: ok gna issue618: ok gna issue1677: ok gna issue1684: ok gna bug019: ok gna issue2430: ok gna issue620: ok gna issue621: ok gna issue623: ok gna issue1687: ok gna issue1688: ok gna issue2432: ok gna bug02: ok gna issue626: ok gna issue627: ok gna issue630: ok gna bug021: ok gna issue631: ok gna issue1689: ok gna issue2433: ok gna issue632: ok gna bug022: ok gna issue634: ok gna issue1690: ok gna issue1691: ok gna issue2435: ok gna issue1697: ok gna bug023: ok gna bug024: ok gna bug026: ok gna issue2437: ok gna bug027: ok gna bug028: ok gna issue244: ok gna bug029: ok gna issue17: ok gna issue1700: ok gna issue2440: ok gna bug03: ok gna issue2441: ok gna bug030: ok gna bug031: ok gna bug032: ok gna issue2445: ok gna issue2447: ok gna issue1704: ok gna bug033: ok gna bug034: ok gna issue635: ok gna issue636: ok gna issue2448: ok gna issue637: ok gna issue1708: ok gna issue1715: ok gna issue1717: ok gna issue2452: ok gna issue1718: ok gna issue2454: ok gna issue2455: ok gna issue2458: ok gna issue1721: ok gna issue2459: ok gna issue2460: ok gna issue2462: ok gna issue2463: ok gna issue1723: ok gna issue1724: ok gna issue1726: ok gna issue1727: ok gna issue2465: ok gna issue1736: ok gna issue1751: ok gna issue2466: ok gna issue1757: ok gna issue1759: ok gna issue2470: ok gna issue2471: ok gna issue2473: ok gna issue176: ok gna issue1764: ok gna issue1765: ok gna issue2476: ok gna issue1768: ok gna issue641: ok gna bug035: ok gna bug036: ok gna issue1771: ok gna issue2477: ok gna issue642: ok gna issue2480: ok gna issue1772: ok gna issue2481: ok gna issue643: ok gna issue1779: ok gna issue645: ok gna issue18: ok gna issue2486: ok gna issue1810: ok gna issue1814: ok gna issue2488: ok gna issue646: ok gna issue1818: ok gna issue2489: ok gna issue2494: ok gna issue2497: ok gna issue648: ok gna issue652: ok gna issue2498: ok gna issue25: ok gna issue654: ok gna issue2500: ok gna issue2502: ok gna issue2505: ok gna issue2506: ok gna issue2507: ok gna issue2508: ok gna issue660: ok gna issue1820: ok gna issue1823: ok gna issue2509: ok gna issue1824: ok gna issue1831: ok gna issue2510: ok gna issue663: ok gna issue2516: ok gna issue1832: ok gna issue2519: ok gna issue2525: ok gna issue2526: ok gna issue2528: ok gna issue1833: ok gna issue664: ok gna issue1834: ok gna issue666: ok gna issue253: ok gna issue2531: ok gna issue1836: ok gna issue2533: ok gna issue1837: ok gna issue1843: ok gna issue2536: ok gna issue2538: ok gna issue2539: ok gna issue1844: ok gna issue668: ok gna issue254: ok gna issue1857: ok gna issue2540: ok gna issue2541: ok gna issue2542: ok gna issue2544: ok gna issue2546: ok gna issue2547: ok gna issue67: ok gna issue1862: ok gna issue672: ok gna issue1864: ok gna issue2549: ok gna issue1867: ok gna issue676: ok gna issue679: ok gna issue2550: ok gna issue2552: ok gna issue2554: ok gna bug037: ok gna issue2558: ok gna bug039: ok gna issue1872: ok gna issue1875: ok gna issue683: ok gna bug04: ok gna issue685: ok gna issue1876: ok gna issue256: ok gna issue2561: ok gna issue1881: ok gna issue1883: ok gna issue1894: ok gna issue1897: ok gna issue2562: ok gna issue687: ok gna issue1898: ok gna issue689: ok gna issue190: ok gna issue69: ok gna issue690: ok gna issue2564: ok gna issue2566: ok gna issue691: ok gna issue1908: ok gna issue191: ok gna issue2567: ok gna issue1913: ok gna issue2569: ok gna issue692: ok gna issue257: ok gna issue694: ok gna issue695: ok gna issue1914: ok gna issue2570: ok gna issue1919: ok gna issue697: ok gna issue705: ok gna issue707: ok gna issue708: ok gna issue71: ok gna issue2571: ok gna issue1924: ok gna issue710: ok gna issue1934: ok gna issue1935: ok gna issue2572: ok gna issue2573: ok gna issue1943: ok gna issue1953: ok gna issue1965: ok gna issue1979: ok gna issue2575: ok gna issue713: ok gna issue2579: ok gna issue258: ok gna issue715: ok gna issue2580: ok gna issue717: ok gna issue718: ok gna issue719: ok gna issue72: ok gna issue726: ok gna issue2581: ok gna issue2584: ok gna issue729: ok gna issue2586: ok gna issue2587: ok gna issue73: ok gna issue259: ok gna issue731: ok gna issue2590: ok gna issue2592: ok gna issue2593: ok gna issue734: ok gna issue735: ok gna issue2594: ok gna issue2597: ok gna issue2598: ok gna issue736: ok gna issue26: ok gna issue2607: ok gna issue261: ok gna issue2611: ok gna issue2613: ok gna issue2614: ok gna issue2618: ok gna issue262: ok gna issue1980: ok gna issue737: ok gna issue2620: ok gna issue747: ok gna issue199: ok gna issue1992: ok gna issue263: ok gna issue264: ok gna issue1994: ok gna issue1999: ok gna issue756: ok gna issue265: ok gna issue772: ok gna issue268: ok gna issue273: ok gna issue278: ok gna issue283: ok gna issue776: ok gna issue777: ok gna issue779: ok gna issue290: ok gna issue780: ok gna issue293: ok gna issue786: ok gna issue295: ok gna issue787: ok gna issue788: ok gna issue791: ok gna issue792: ok gna issue794: ok gna issue2: ok gna issue20: ok gna issue2005: ok gna issue2006: ok gna issue795: ok gna issue201: ok gna issue797: ok gna issue803: ok gna issue202: ok gna issue2026: ok gna issue2031: ok gna issue2038: ok gna issue204: ok gna issue2048: ok gna issue807: ok gna issue205: ok gna issue810: ok gna issue2050: ok gna issue813: ok gna issue816: ok gna issue817: ok gna issue2051: ok gna issue2055: ok gna issue818: ok gna issue821: ok gna issue828: ok gna issue830: ok gna issue838: ok gna issue852: ok gna issue857: ok gna issue860: ok gna issue864: ok gna issue869: ok gna issue873: ok gna issue874: ok gna issue875: ok gna issue2065: ok gna issue877: ok gna issue2066: ok gna issue207: ok gna issue880: ok gna issue881: ok gna issue885: ok gna issue886: ok gna issue887: ok gna issue2070: ok gna issue899: ok gna issue9: ok gna issue2071: ok gna issue2076: ok gna issue209: ok gna issue912: ok gna issue2091: ok gna issue913: ok gna issue916: ok gna issue917: ok gna issue918: ok gna issue2097: ok gna issue922: ok gna issue961: ok gna issue2098: ok gna issue2100: ok gna issue2101: ok gna issue98: ok gna issue2103: ok gna issue983: ok gna issue984: ok gna issue99: ok gna lsp27: ok gna issue2104: ok gna issue2110: ok gna issue2112: ok gna issue2115: ok gna issue2116: ok gna issue2117: ok gna issue2118: ok gna issue212: ok gna issue2131: ok gna issue2134: ok gna issue2136: ok gna issue2138: ok gna issue2141: ok gna issue2147: ok gna issue2148: ok gna issue2150: ok gna issue2152: ok gna issue2153: ok gna issue2155: ok gna issue2156: ok gna issue2157: ok gna issue216: ok gna issue2162: ok gna issue2163: ok gna issue2164: ok gna issue2165: ok gna issue2166: ok gna issue2171: ok gna issue2172: ok gna issue2173: ok gna issue30: ok gna issue300: ok gna issue2174: ok gna issue2175: ok gna issue2179: ok gna issue2185: ok gna issue2189: ok gna issue301: ok gna issue309: ok gna issue2190: ok gna issue310: ok gna issue312: ok gna issue314: ok gna issue316: ok gna issue2193: ok gna issue2196: ok gna issue2198: ok gna issue2200: ok gna issue2202: ok gna issue2209: ok gna issue2212: ok gna issue2215: ok gna issue2216: ok gna issue2217: ok gna issue2218: ok gna issue2219: ok gna issue2221: ok gna issue2223: ok gna bug040: ok gna bug041: ok gna bug042: ok gna bug043: ok gna bug044: ok gna bug045: ok gna bug046: ok gna bug047: ok gna bug048: ok gna bug049: ok gna bug05: ok gna bug050: ok gna bug051: ok gna bug052: ok gna bug053: ok gna bug054: ok gna bug055: ok gna bug056: ok gna bug057: ok gna bug058: ok gna bug059: ok gna bug06: ok gna bug060: ok gna bug061: ok gna bug062: ok gna bug063: ok gna bug064: ok gna bug065: ok gna bug066: ok gna bug067: ok gna bug069: ok gna bug07: ok gna bug071: ok gna bug072: ok gna bug073: ok gna bug074: ok gna bug075: ok gna bug077: ok gna bug078: ok gna bug079: ok gna bug08: ok gna bug080: ok gna bug081: ok gna bug082: ok gna bug083: ok gna issue317: ok gna issue320: ok gna issue321: ok gna issue326: ok gna bug084: ok gna bug085: ok gna bug086: ok gna bug087: ok gna bug088: ok gna bug09: ok gna issue328: ok gna bug090: ok gna bug092: ok gna bug093: ok gna issue332: ok gna issue333: ok gna bug094: ok gna bug096: ok gna issue339: ok gna bug097: ok gna issue349: ok gna bug098: ok gna issue351: ok gna bug099: ok gna issue356: ok gna issue361: ok gna issue367: ok gna bug14953: ok gna issue368: ok gna bug15638: ok gna bug15702: ok gna bug15933: ok gna issue369: ok gna issue370: ok gna bug15966: ok gna issue371: ok gna issue372: ok gna issue373: ok gna issue374: ok gna issue375: ok gna bug15993: ok gna bug16095: ok gna bug16096: ok gna bug16144: ok gna bug16287: ok gna bug16695: ok gna bug16782: ok gna issue376: ok gna issue379: ok gna issue38: ok gna issue381: ok gna bug17127: ok gna bug17202: ok gna issue382: ok gna issue384: ok gna bug17203: ok gna issue387: ok gna issue388: ok gna issue389: ok gna issue392: ok gna issue394: ok gna issue395: ok gna issue397: ok gna issue40: ok gna issue400: ok gna issue406: ok gna issue407: ok gna issue413: ok gna bug17309: ok gna bug17545: ok gna bug17759: ok gna bug18280: ok gna bug18351: ok gna bug18353: ok gna bug18359: ok gna bug18360: ok gna bug18361: ok gna bug18659: ok gna issue418: ok gna issue419: ok gna issue42: ok gna issue424: ok gna issue43: ok gna issue439: ok gna bug18810: ok gna issue44: ok gna bug19195: ok gna issue440: ok gna issue441: ok gna bug20255: ok gna issue447: ok gna issue449: ok gna bug20312: ok gna bug20549: ok gna bug20597: ok gna bug20703: ok gna issue45: ok gna bug20767: ok gna issue450: ok gna bug20771: ok gna bug21052: ok gna bug21078: ok gna bug21274: ok gna issue451: ok gna issue456: ok gna issue458: ok gna bug21332: ok gna bug21487: ok gna bug21497: ok gna bug21500: ok gna bug21513: ok gna bug22868: ok gna bug23013: ok gna issue459: ok gna issue461: ok gna bug23165: ok gna bug23482: ok gna bug24064: ok gna bug24065: ok gna bug24324: ok gna bug24326: ok gna bug7186: ok gna bug7751: ok gna deb573721: ok gna deb585748: ok gna deb585750: ok gna fst01: ok gna gls7: ok gna issue10: ok gna issue1015: ok gna issue103: ok gna issue1038: ok gna issue1055: ok gna issue1057: ok gna issue106: ok gna issue1063: ok gna issue1066: ok gna issue1067: ok gna issue107: ok gna issue1120: ok gna issue1123: ok gna issue1125: ok gna issue1128: ok gna issue1129: ok gna issue1131: ok gna issue1137: ok gna issue1138: ok gna issue1145: ok gna issue1152: ok gna issue1191: ok gna issue1196: ok gna issue1201: ok gna issue1206: ok gna issue1224: ok gna issue1226: ok gna issue1228: ok gna issue1229: ok gna issue123: ok gna issue1233: ok gna issue1240: ok gna issue1246: ok gna issue1249: ok gna issue125: ok gna issue1252: ok gna issue1256: ok gna issue1257: ok gna issue126: ok gna issue1262: ok gna issue1268: ok gna issue1269: ok gna issue1274: ok gna issue1287: ok gna issue1288: ok gna issue1292: ok gna issue1295: ok gna issue1300: ok gna issue132: ok gna issue1323: ok gna issue1326: ok gna issue1338: ok gna issue1346: ok gna issue1347: ok gna issue1354: ok gna issue136: ok gna issue1360: ok gna issue1361: ok gna issue1362: ok gna perf02-long: ok gna sr2553: ok gna sr2655: ok gna sr2676: ok gna sr2737: ok gna sr2903: ok gna sr2940: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna ticket104: ok gna ticket109: ok gna ticket11: ok gna ticket14: ok gna ticket15: ok gna ticket18: ok gna ticket19: ok gna ticket20: ok gna ticket24: ok gna ticket26: ok gna ticket29: ok gna ticket30: ok gna ticket31: ok gna ticket32: ok gna ticket35: ok gna ticket37: ok gna ticket38: ok gna ticket39: ok gna ticket40: ok gna ticket41: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna ticket51: ok gna ticket52: ok gna ticket53: ok gna ticket54: ok gna ticket55: ok gna ticket56: ok gna ticket57: ok gna ticket58: ok gna ticket59: ok gna ticket61: ok gna ticket62: ok gna ticket63: ok gna ticket64: ok gna ticket65: ok gna ticket66: ok gna ticket67: ok gna ticket68: ok gna ticket69: ok gna ticket70: ok gna ticket71: ok gna ticket73: ok gna ticket74: ok gna ticket75: ok gna ticket76: ok gna ticket77: ok gna ticket78: ok gna ticket79: ok gna ticket81: ok gna ticket83: ok gna ticket84: ok gna ticket86: ok gna ticket87: ok gna ticket88: ok gna ticket9: ok gna ticket90: ok gna ticket92: ok gna ticket93: ok gna ticket94: ok gna ticket95: ok gna ticket96: ok gna ticket97: ok gna ticket98: ok gna tests are successful [GHDL - test] vests Vests is OK 29206 vests.log [GHDL - test] synth ..Running with 4 test workers. synth issue2408: ok synth issue1658: ok synth issue2418: ok synth issue1160: ok synth issue1665: ok synth issue2428: ok synth issue1161: ok synth issue1162: ok synth aggr01: ok synth issue2436: ok synth issue2438: ok synth issue2444: ok synth issue1163: ok synth issue1164: ok synth issue1165: ok synth issue2456: ok synth issue2461: ok synth issue2467: ok synth issue2474: ok synth issue2484: ok synth issue1166: ok synth issue1167: ok synth aggr02: ok synth issue1168: ok synth issue1169: ok synth issue1170: ok synth issue1172: ok synth issue2504: ok synth issue2511: ok synth issue2513: ok synth issue2514: ok synth issue2521: ok synth issue2529: ok synth issue1175: ok synth issue1675: ok synth issue2537: ok synth issue1679: ok synth aggr03: ok synth issue1680: ok synth issue2545: ok synth issue1681: ok synth issue1176: ok synth issue1685: ok synth issue2551: ok synth issue1698: ok synth issue2553: ok synth issue1177: ok synth issue2599: ok synth issue1703: ok synth issue2605: ok synth issue2606: ok synth issue1178: ok synth issue2616: ok synth issue1179: ok synth issue1180: ok synth issue1181: ok synth issue1182: ok synth issue1186: ok synth anon01: ok synth issue1190: ok synth issue1193: ok synth issue412: ok synth issue1197: ok synth issue1731: ok synth issue1734: ok synth issue1780: ok synth issue1198: ok synth issue1199: ok synth issue1207: ok synth issue1208: ok synth issue1209: ok synth issue1210: ok synth issue1781: ok synth issue1832: ok synth issue1838: ok synth issue1859: ok synth issue1860: ok synth issue1211: ok synth issue1212: ok synth issue1217: ok synth issue1218: ok synth issue1219: ok synth issue1220: ok synth issue1886: ok synth issue1889: ok synth issue1221: ok synth issue662: ok synth arr01: ok synth issue1899: ok synth issue1903: ok synth issue872: ok synth issue1225: ok synth issue882: ok synth issue936: ok synth issue1230: ok synth issue1231: ok synth issue1234: ok synth issue1236: ok synth issue1237: ok synth issue1909: ok synth issue1911: ok synth issue1912: ok synth issue1920: ok synth issue1926: ok synth issue1936: ok synth issue1938: ok synth issue1942: ok synth issue1944: ok synth issue937: ok synth issue1238: ok synth issue1239: ok synth issue938: ok synth issue940: ok synth issue941: ok synth issue944: ok synth issue945: ok synth issue946: ok synth issue947: ok synth issue948: ok synth issue1945: ok synth issue1947: ok synth issue1948: ok synth issue1949: ok synth arr02: ok synth issue1240: ok synth issue951: ok synth issue953: ok synth issue954: ok synth arr03: ok synth issue1241: ok synth issue1242: ok synth issue1243: ok synth issue955: ok synth issue956: ok synth issue958: ok synth issue1250: ok synth issue1251: ok synth issue1253: ok synth issue1254: ok synth issue960: ok synth issue962: ok synth issue1951: ok synth issue1961: ok synth issue1962: ok synth issue1968: ok synth issue1971: ok synth issue1972: ok synth issue1977: ok synth issue1978: ok synth issue1258: ok synth issue1986: ok synth issue1991: ok synth issue1993: ok synth issue2002: ok synth issue963: ok synth issue1264: ok synth issue2011: ok synth issue1265: ok synth issue964: ok synth issue965: ok synth issue972: ok synth issue1266: ok synth issue1267: ok synth issue1271: ok synth issue1272: ok synth issue973: ok synth latch01: ok synth lib01: ok synth issue2013: ok synth issue2019: ok synth issue2021: ok synth issue2025: ok synth issue2032: ok synth issue2033: ok synth issue2034: ok synth lit01: ok synth issue2035: ok synth issue2041: ok synth issue2042: ok synth issue1273: ok synth issue1277: ok synth issue1280: ok synth issue1282: ok synth issue1283: ok synth asgn01: ok synth assertassumes0: ok synth assign01: ok synth assumeasserts0: ok synth issue1288: ok synth attr01: ok synth issue2043: ok synth issue2045: ok synth match01: ok synth issue1292: ok synth issue1294: ok synth issue1295: ok synth issue1298: ok synth attr02: ok synth issue1302: ok synth issue1307: ok synth issue1310: ok synth issue1311: ok synth issue1313: ok synth issue1314: ok synth issue1316: ok synth issue1317: ok synth issue1318: ok synth blackbox01: ok synth blackbox02: ok synth issue2046: ok synth issue1319: ok synth block01: ok synth issue1321: ok synth issue2049: ok synth issue2053: ok synth issue2054: ok synth bug01: ok synth issue2062: ok synth issue2063: ok synth bug02: ok synth bug04: ok synth issue1322: ok synth issue1324: ok synth issue2072: ok synth issue1325: ok synth issue2073: ok synth issue2074: ok synth mem01: ok synth case01: ok synth issue1330: ok synth issue1332: ok synth issue1333: ok synth issue1342: ok synth issue1345: ok synth case02: ok synth issue1348: ok synth issue1366: ok synth issue2077: ok synth issue2080: ok synth issue2081: ok synth issue2083: ok synth issue2084: ok synth issue2085: ok synth issue2086: ok synth issue2088: ok synth issue2089: ok synth issue2090: ok synth issue2092: ok synth issue2099: ok synth issue2109: ok synth issue2113: ok synth issue2119: ok synth issue2123: ok synth issue2124: ok synth cnt01: ok synth issue2125: ok synth issue1367: ok synth issue2126: ok synth issue1372: ok synth issue2129: ok synth issue1387: ok synth issue2139: ok synth issue2140: ok synth issue2142: ok synth issue2143: ok synth issue2144: ok synth issue2145: ok synth issue2146: ok synth issue2149: ok synth issue2159: ok synth issue1390: ok synth comp01: ok synth issue1406: ok synth issue2169: ok synth issue2176: ok synth issue1407: ok synth issue1408: ok synth comp02: ok synth comp03: ok synth issue1413: ok synth issue1414: ok synth mem02: ok synth issue1417: ok synth issue1421: ok synth issue1424: ok synth issue1426: ok synth issue1428: ok synth issue1430: ok synth issue1442: ok synth issue2177: ok synth issue2187: ok synth issue1454: ok synth issue2204: ok synth issue2205: ok synth issue2214: ok synth issue2222: ok synth issue2224: ok synth mem03: ok synth issue2231: ok synth issue2232: ok synth issue2234: ok synth comp04: ok synth concat01: ok synth concat02: ok synth const01: ok synth conv01: ok synth memdp01: ok synth issue2237: ok synth issue2240: ok synth issue2266: ok synth issue2270: ok synth issue2273: ok synth issue2279: ok synth issue2285: ok synth issue2286: ok synth issue2327: ok synth issue2328: ok synth issue2330: ok synth issue2334: ok synth issue2339: ok synth issue2347: ok synth issue2361: ok synth issue2369: ok synth issue2372: ok synth issue2390: ok synth issue2399: ok synth memmux01: ok synth issue1460: ok synth issue1475: ok synth issue1481: ok synth issue1503: ok synth mixed1: ok synth module01: ok synth module02: ok synth issue1509: ok synth null01: ok synth dff01: ok synth issue1520: ok synth issue1531: ok synth issue1534: ok synth issue1536: ok synth issue1537: ok synth issue1540: ok synth issue1563: ok synth issue1572: ok synth issue1591: ok synth issue1596: ok synth issue1609: ok synth issue1628: ok synth dff02: ok synth oper01: ok synth issue1645: ok synth issue1650: ok synth issue1654: ok synth dff03: ok synth dff04: ok synth dff05: ok synth oper02: ok synth output01: ok synth param01: ok synth physical01: ok synth pkg01: ok synth pragma01: ok synth dispin01: ok synth psl01: ok synth psl02: ok synth range01: ok synth rec01: ok synth dispout01: ok synth ret01: ok synth simple01: ok synth dispvhdl01: ok synth enum01: ok synth slice01: ok synth exit01: ok synth external01: ok synth slice02: ok synth forgen01: ok synth fsm01: ok synth fsm02: ok synth fsm03: ok synth sns01: ok synth func01: ok synth func02: ok synth func03: ok synth gen01: ok synth generate01: ok synth iassoc01: ok synth if01: ok synth if02: ok synth if03: ok synth initial01: ok synth insert01: ok synth int01: ok synth issue1002: ok synth issue1004: ok synth issue1005: ok synth issue1006: ok synth issue1007: ok synth issue1008: ok synth issue1009: ok synth issue1011: ok synth issue1014: ok synth issue1018: ok synth snsuns01: ok synth issue1021: ok synth issue1023: ok synth stmt01: ok synth string01: ok synth issue1025: ok synth issue1032: ok synth issue1033: ok synth issue1034: ok synth issue1035: ok synth issue1036: ok synth issue1039: ok synth issue1041: ok synth issue1042: ok synth issue1043: ok synth issue1044: ok synth subprg01: ok synth issue1046: ok synth issue1047: ok synth issue1050: ok synth issue1054: ok synth synth104: ok synth issue1058: ok synth synth108: ok synth synth110: ok synth issue1062: ok synth issue1064: ok synth issue1068: ok synth synth111: ok synth synth115: ok synth synth12: ok synth synth128: ok synth synth129: ok synth synth14: ok synth synth154: ok synth synth174: ok synth synth179: ok synth synth180: ok synth synth183: ok synth issue1069: ok synth issue1074: ok synth issue1075: ok synth synth188: ok synth synth26: ok synth synth27: ok synth issue1076: ok synth synth33: ok synth issue1077: ok synth issue1078: ok synth issue1079: ok synth issue1080: ok synth synth34: ok synth issue1081: ok synth synth36: ok synth issue1082: ok synth issue1083: ok synth issue1086: ok synth synth38: ok synth issue1090: ok synth issue1095: ok synth synth39: ok synth issue1096: ok synth issue1099: ok synth synth40: ok synth synth44: ok synth synth45: ok synth synth47: ok synth synth48: ok synth synth50: ok synth synth52: ok synth synth56: ok synth issue1100: ok synth synth58: ok synth synth60: ok synth synth76: ok synth issue1101: ok synth issue1107: ok synth synth8: ok synth synth80: ok synth issue1109: ok synth issue1113: ok synth issue1114: ok synth issue1116: ok synth synth87: ok synth synth93: ok synth transoff01: ok synth issue1117: ok synth uassoc01: ok synth unary01: ok synth issue1122: ok synth var01: ok synth var02: ok synth issue1126: ok synth issue1127: ok synth issue1130: ok synth issue1132: ok synth issue1133: ok synth issue1139: ok synth issue1140: ok synth issue1144: ok synth issue1146: ok synth issue1148: ok synth issue1153: ok synth issue1155: ok synth issue1157: ok synth issue1158: ok synth issue1159: ok synth tests are successful [GHDL - test] vpi ..Running with 4 test workers. vpi vpi001: ok vpi vpi003: ok vpi vpi005: ok vpi vpi004: ok vpi vpi002: ok vpi tests are successful [GHDL - test] vhpi ..Running with 4 test workers. vhpi 005trace_file: ok vhpi 001load_lib: ok vhpi 003load_multi_lib: ok vhpi 002load_entrypoint: ok vhpi 004trace_stdout: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /<>/builddir/stamps/test-llvm dh_testdir ------------------------------------------------------------ Run testsuite for gcc backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. mkdir -p /<>/testrundir/gcc/usr/bin /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards (so that it can find its # libraries as relative paths from the executable's location). ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /<>/testrundir/gcc/usr/bin/ghdl-gcc /usr/bin/make -C /<>/builddir/gcc/build install DESTDIR=/<>/testrundir/gcc make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' /bin/bash ../src/mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc /<>/testrundir/gcc/usr/lib/ghdl/gcc make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' rm -rf /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include/README /usr/bin/install -c fixinc.sh /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/fixinc.sh /usr/bin/install -c fixincl /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/fixincl /usr/bin/install -c mkheaders /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/mkheaders make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /usr/bin/mkdir -p '/<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/gcc' gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-lto-dump-13 mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir: cannot create directory ‘/<>/testrundir/gcc/usr/lib/ghdl/gcc/bin’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:173: vhdl.install-common] Error 1 (ignored) rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib mkdir: cannot create directory ‘/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:176: vhdl.install-common] Error 1 (ignored) mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 cc1obj cc1objplus rust1 ghdl1; do \ if [ -f $file ] ; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ else true; fi; \ done rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-13; \ /usr/bin/install -c gcov /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-tool-13; \ /usr/bin/install -c \ gcov-tool /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-tool-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-dump-13; \ /usr/bin/install -c \ gcov-dump /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-dump-13; \ fi; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include rm -rf /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed mkdir /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed chmod a+rx /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed; tar xpf - ) files=`cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/$i; \ fi; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include${multi_dir}/limits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-cpp-13; \ if [ x != x ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc//aarch64-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/testrundir/gcc/usr/lib/ghdl/gcc//aarch64-linux-gnu-cpp-13; \ else true; fi; \ fi rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 /usr/bin/install -c -m 644 doc/gcc.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 /usr/bin/install -c -m 644 doc/cpp.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/lto-dump.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info if [ -f doc/aarch64-linux-gnu-cpp-13.info ]; then \ for f in doc/aarch64-linux-gnu-cpp-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info if [ -f doc/aarch64-linux-gnu-gcc-13.info ]; then \ for f in doc/aarch64-linux-gnu-gcc-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info if [ -f doc/aarch64-linux-gnu-cppinternals-13.info ]; then \ for f in doc/aarch64-linux-gnu-cppinternals-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info if [ -f doc/aarch64-linux-gnu-gccinstall-13.info ]; then \ for f in doc/aarch64-linux-gnu-gccinstall-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info; \ else true; fi; \ else true; fi; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info if [ -f doc/aarch64-linux-gnu-gccint-13.info ]; then \ for f in doc/aarch64-linux-gnu-gccint-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info ]; then \ install-info --dir-file=/<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info; \ else true; fi; \ else true; fi; /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc$dir; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/gcc-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/gcc-13.mo; \ done /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13 /usr/bin/install -c xgcc /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13 if test "" != "yes" ; then \ if [ "aarch64-linux-gnu-gcc-13" != "aarch64-linux-gnu-gcc-13" ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln aarch64-linux-gnu-gcc-13 aarch64-linux-gnu-gcc-13 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "aarch64-linux-gnu-gcc-13" != "aarch64-linux-gnu-aarch64-linux-gnu-gcc-13" ]; then \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-tmp; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln aarch64-linux-gnu-gcc-13 aarch64-linux-gnu-gcc-tmp && \ mv -f aarch64-linux-gnu-gcc-tmp aarch64-linux-gnu-aarch64-linux-gnu-gcc-13 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-13&;s&^&aarch64-linux-gnu-&'` ;\ target_install_name=aarch64-linux-gnu-`echo $i|sed 's&$&-13&;s&^&aarch64-linux-gnu-&'` ; \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi rm -f tmp-header-vars echo USER_H=float.h iso646.h stdarg.h stdbool.h stddef.h varargs.h stdfix.h stdnoreturn.h stdalign.h stdatomic.h config/aarch64/arm_fp16.h arm_neon.h arm_bf16.h arm_acle.h arm_sve.h >> tmp-header-vars; echo T_GLIMITS_H=glimits.h >> tmp-header-vars; echo T_STDINT_GCC_H=stdint-gcc.h >> tmp-header-vars; echo HASHTAB_H=hashtab.h >> tmp-header-vars; echo OBSTACK_H=obstack.h >> tmp-header-vars; echo SPLAY_TREE_H=splay-tree.h >> tmp-header-vars; echo MD5_H=md5.h >> tmp-header-vars; echo XREGEX_H=xregex.h >> tmp-header-vars; echo FNMATCH_H=fnmatch.h >> tmp-header-vars; echo LINKER_PLUGIN_API_H=plugin-api.h >> tmp-header-vars; echo BCONFIG_H=bconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo CONFIG_H=config.h auto-host.h ansidecl.h >> tmp-header-vars; echo TCONFIG_H=tconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo TM_P_H=tm_p.h config/aarch64/aarch64-protos.h aarch-common-protos.h linux-protos.h tm-preds.h >> tmp-header-vars; echo TM_D_H=tm_d.h config/aarch64/aarch64-d.h >> tmp-header-vars; echo GTM_H=tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h >> tmp-header-vars; echo TM_H=tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def >> tmp-header-vars; echo DUMPFILE_H=line-map.h dumpfile.h >> tmp-header-vars; echo VEC_H=vec.h statistics.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo HASH_TABLE_H=hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo EXCEPT_H=except.h hashtab.h >> tmp-header-vars; echo TARGET_H=tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h >> tmp-header-vars; echo C_TARGET_H=c-family/c-target.h c-family/c-target.def target-hooks-macros.h >> tmp-header-vars; echo COMMON_TARGET_H=common/common-target.h line-map.h input.h common/common-target.def target-hooks-macros.h >> tmp-header-vars; echo D_TARGET_H=d/d-target.h d/d-target.def target-hooks-macros.h >> tmp-header-vars; echo MACHMODE_H=machmode.h mode-classes.def >> tmp-header-vars; echo HOOKS_H=hooks.h >> tmp-header-vars; echo HOSTHOOKS_DEF_H=hosthooks-def.h hooks.h >> tmp-header-vars; echo LANGHOOKS_DEF_H=langhooks-def.h hooks.h >> tmp-header-vars; echo TARGET_DEF_H=target-def.h target-hooks-def.h hooks.h targhooks.h >> tmp-header-vars; echo C_TARGET_DEF_H=c-family/c-target-def.h c-family/c-target-hooks-def.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def hooks.h common/common-targhooks.h >> tmp-header-vars; echo CORETYPES_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h >> tmp-header-vars; echo RTL_BASE_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h >> tmp-header-vars; echo FIXED_VALUE_H=fixed-value.h >> tmp-header-vars; echo RTL_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def genrtl.h >> tmp-header-vars; echo READ_MD_H=obstack.h hashtab.h read-md.h >> tmp-header-vars; echo INTERNAL_FN_H=internal-fn.h internal-fn.def >> tmp-header-vars; echo TREE_CORE_H=tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h >> tmp-header-vars; echo TREE_H=tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo REGSET_H=regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h >> tmp-header-vars; echo BASIC_BLOCK_H=basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h >> tmp-header-vars; echo GIMPLE_H=gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h >> tmp-header-vars; echo GCOV_IO_H=gcov-io.h version.h auto-host.h gcov-counter.def >> tmp-header-vars; echo RECOG_H=recog.h >> tmp-header-vars; echo EMIT_RTL_H=emit-rtl.h >> tmp-header-vars; echo FLAGS_H=flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def >> tmp-header-vars; echo OPTIONS_H=options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def >> tmp-header-vars; echo FUNCTION_H=function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h >> tmp-header-vars; echo EXPR_H=expr.h insn-config.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def genrtl.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h emit-rtl.h >> tmp-header-vars; echo OPTABS_H=optabs.h insn-codes.h insn-opinit.h >> tmp-header-vars; echo REGS_H=regs.h hard-reg-set.h >> tmp-header-vars; echo CFGLOOP_H=cfgloop.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h bitmap.h hashtab.h statistics.h sbitmap.h >> tmp-header-vars; echo IPA_UTILS_H=ipa-utils.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo IPA_REFERENCE_H=ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo CGRAPH_H=cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo DF_H=df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo RESOURCE_H=resource.h hard-reg-set.h df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo GCC_H=gcc.h version.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo GGC_H=ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo TIMEVAR_H=timevar.h timevar.def >> tmp-header-vars; echo INSN_ATTR_H=insn-attr.h insn-attr-common.h insn-addr.h >> tmp-header-vars; echo INSN_ADDR_H=insn-addr.h >> tmp-header-vars; echo C_COMMON_H=c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo C_PRAGMA_H=c-family/c-pragma.h line-map.h cpplib.h >> tmp-header-vars; echo C_TREE_H=c/c-tree.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo SYSTEM_H=system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PREDICT_H=predict.h predict.def >> tmp-header-vars; echo CPPLIB_H=line-map.h cpplib.h >> tmp-header-vars; echo CODYLIB_H=cody.hh >> tmp-header-vars; echo INPUT_H=line-map.h input.h >> tmp-header-vars; echo OPTS_H=line-map.h input.h vec.h statistics.h ggc.h gtype-desc.h statistics.h opts.h obstack.h >> tmp-header-vars; echo SYMTAB_H=symtab.h obstack.h >> tmp-header-vars; echo CPP_INTERNAL_H=internal.h >> tmp-header-vars; echo TREE_DUMP_H=tree-dump.h splay-tree.h line-map.h dumpfile.h >> tmp-header-vars; echo TREE_PASS_H=tree-pass.h timevar.h timevar.def line-map.h dumpfile.h >> tmp-header-vars; echo TREE_SSA_H=tree-ssa.h tree-ssa-operands.h bitmap.h hashtab.h statistics.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h hashtab.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h tree-ssa-alias.h >> tmp-header-vars; echo PRETTY_PRINT_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo TREE_PRETTY_PRINT_H=tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo GIMPLE_PRETTY_PRINT_H=gimple-pretty-print.h tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo DIAGNOSTIC_CORE_H=diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo DIAGNOSTIC_H=diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo C_PRETTY_PRINT_H=c-family/c-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h splay-tree.h line-map.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TREE_INLINE_H=tree-inline.h >> tmp-header-vars; echo REAL_H=real.h >> tmp-header-vars; echo LTO_STREAMER_H=lto-streamer.h plugin-api.h tm.h options.h config/aarch64/biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h vec.h statistics.h ggc.h gtype-desc.h statistics.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h gcov-io.h version.h auto-host.h gcov-counter.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h alloc-pool.h >> tmp-header-vars; echo IPA_PROP_H=ipa-prop.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/aarch64/aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h vec.h statistics.h ggc.h gtype-desc.h statistics.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h biarchlp64.h aarch64.h elfos.h gnu-user.h linux.h glibc-stdint.h aarch64-elf.h aarch64-errata.h aarch64-linux.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def aarch64-fusion-pairs.def aarch64-tuning-flags.def aarch64-option-extensions.def hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h aarch64-opts.h aarch-common.h aarch64-cores.def aarch64-arches.def real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h alloc-pool.h >> tmp-header-vars; echo BITMAP_H=bitmap.h hashtab.h statistics.h >> tmp-header-vars; echo GCC_PLUGIN_H=gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h hashtab.h >> tmp-header-vars; echo PLUGIN_H=plugin.h gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h hashtab.h >> tmp-header-vars; echo PLUGIN_VERSION_H=plugin-version.h configargs.h >> tmp-header-vars; echo CONTEXT_H=context.h >> tmp-header-vars; echo GENSUPPORT_H=gensupport.h read-md.h optabs.def >> tmp-header-vars; echo RTL_SSA_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h insn-config.h splay-tree-utils.h recog.h regs.h hard-reg-set.h function-abi.h obstack-utils.h mux-utils.h rtlanal.h memmodel.h emit-rtl.h rtl-ssa/accesses.h rtl-ssa/insns.h rtl-ssa/blocks.h rtl-ssa/changes.h rtl-ssa/functions.h rtl-ssa/is-a.inl rtl-ssa/access-utils.h rtl-ssa/insn-utils.h rtl-ssa/movement.h rtl-ssa/change-utils.h rtl-ssa/member-fns.inl >> tmp-header-vars; echo GTFILES_H=gt-coverage.h gt-symtab-thunks.h gt-caller-save.h gt-symtab.h gt-alias.h gt-bitmap.h gt-cselib.h gt-cgraph.h gt-ipa-prop.h gt-ipa-cp.h gt-ipa-sra.h gt-ipa-modref.h gt-diagnostic-spec.h gt-dwarf2asm.h gt-dwarf2cfi.h gt-dwarf2ctf.h gt-dwarf2out.h gt-ctfout.h gt-btfout.h gt-tree-vect-generic.h gt-gimple-isel.h gt-dojump.h gt-emit-rtl.h gt-explow.h gt-expr.h gt-function.h gt-except.h gt-ggc-tests.h gt-gcse.h gt-godump.h gt-lists.h gt-optabs-libfuncs.h gt-profile.h gt-mcf.h gt-reg-stack.h gt-cfgrtl.h gt-stor-layout.h gt-stringpool.h gt-tree.h gt-varasm.h gt-tree-ssanames.h gt-tree-eh.h gt-tree-ssa-address.h gt-tree-cfg.h gt-tree-ssa-loop-ivopts.h gt-tree-dfa.h gt-tree-iterator.h gt-gimple-expr.h gt-tree-scalar-evolution.h gt-tree-profile.h gt-tree-nested.h gt-omp-general.h gt-omp-low.h gt-targhooks.h gt-aarch64.h gt-passes.h gt-cgraphclones.h gt-tree-phinodes.h gt-trans-mem.h gt-vtable-verify.h gt-asan.h gt-ubsan.h gt-tsan.h gt-sanopt.h gt-sancov.h gt-ipa-devirt.h gt-calls.h gt-analyzer-analyzer-language.h gt-aarch64-builtins.h gt-aarch64-sve-builtins.h gt-ada-decl.h gt-ada-trans.h gt-ada-utils.h gt-ada-misc.h gt-c-c-lang.h gt-c-c-decl.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-c-objc-common.h gt-c-c-parser.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-contracts.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-cp-cp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-d-d-builtins.h gt-d-d-lang.h gt-d-typeinfo.h gt-fortran-f95-lang.h gt-fortran-trans-decl.h gt-fortran-trans-intrinsic.h gt-fortran-trans-io.h gt-fortran-trans-stmt.h gt-fortran-trans-types.h gt-go-go-lang.h gt-jit-dummy-frontend.h gt-lto-lto-lang.h gt-lto-lto.h gt-lto-lto-common.h gt-lto-lto-dump.h gt-m2-gm2-lang.h gt-m2-rtegraph.h gt-m2-m2block.h gt-m2-m2builtins.h gt-m2-m2decl.h gt-m2-m2except.h gt-m2-m2expr.h gt-m2-m2statement.h gt-m2-m2type.h gt-objc-objc-act.h gt-objc-objc-runtime-shared-support.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-c-c-parser.h gt-c-c-decl.h gt-c-c-objc-common.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-contracts.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-objcp-objcp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-objc-objc-act.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-objc-objc-runtime-shared-support.h gt-rust-rust-lang.h gt-vhdl-ortho-lang.h >> tmp-header-vars; echo GTFILES_LANG_H=gtype-ada.h gtype-c.h gtype-cp.h gtype-d.h gtype-fortran.h gtype-go.h gtype-jit.h gtype-lto.h gtype-m2.h gtype-objc.h gtype-objcp.h gtype-rust.h gtype-vhdl.h >> tmp-header-vars; /bin/bash ../../src/gcc/../move-if-change tmp-header-vars b-header-vars echo timestamp > s-header-vars /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin /usr/bin/install -c -m 644 gtype.state /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/gtype.state /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin /usr/bin/install -c gengtype /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin/gengtype /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/config/aarch64/aarch64-arches.def ../../src/gcc/config/aarch64/aarch64-cores.def ../../src/gcc/config/aarch64/aarch64-elf.h ../../src/gcc/config/aarch64/aarch64-errata.h ../../src/gcc/config/aarch64/aarch64-fusion-pairs.def ../../src/gcc/config/aarch64/aarch64-linux.h ../../src/gcc/config/aarch64/aarch64-option-extensions.def ../../src/gcc/config/aarch64/aarch64-opts.h ../../src/gcc/config/aarch64/aarch64-protos.h ../../src/gcc/config/aarch64/aarch64-tuning-flags.def ../../src/gcc/config/aarch64/aarch64.h ../../src/gcc/config/aarch64/biarchlp64.h ../../src/gcc/config/arm/aarch-common-protos.h ../../src/gcc/config/arm/aarch-common.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h align.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/aarch64/aarch64-elf.h config/aarch64/aarch64-errata.h config/aarch64/aarch64-linux.h config/aarch64/aarch64-protos.h config/aarch64/aarch64.h config/aarch64/biarchlp64.h config/arm/aarch-common-protos.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-protos.h config/linux.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h poly-int-types.h poly-int.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /<>/testrundir/gcc$dest; \ dir=`dirname $dest`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/testrundir/gcc$dir; \ /usr/bin/install -c -m 644 $path /<>/testrundir/gcc$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-arches.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-arches.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64 /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-cores.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-cores.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-elf.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-elf.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-errata.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-errata.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-fusion-pairs.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-fusion-pairs.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-option-extensions.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-option-extensions.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-opts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-tuning-flags.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-tuning-flags.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/biarchlp64.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/biarchlp64.h /usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common-protos.h mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm /usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cp/cp-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/d/d-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/m2/m2-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/objc/objc-tree.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-common.def mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-elf.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-elf.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-errata.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-errata.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/biarchlp64.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/biarchlp64.h /usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 configargs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-client-data-hooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-client-data-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-infer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-infer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-op.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-op.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-notes.def /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/logical-location.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/make-unique.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/make-unique.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts-jobserver.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts-jobserver.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-logical-location.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-pretty-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-storage.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range-storage.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/xcoff.h /usr/bin/install -c -m 644 b-header-vars /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/b-header-vars make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES mkdir -p -- /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/cpplib-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/testrundir/gcc$dir/cpplib-13.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;aarch64-linux-gnu-ranlib ./libiberty.an ); \ mv -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/testrundir/gcc${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /<>/testrundir/gcc${thd}; \ done; \ fi make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' /bin/bash ../../src/c++tools/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 /usr/bin/install -c g++-mapper-server /<>/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /usr/bin/mkdir -p '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin' make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13 /usr/bin/install -c -m 644 libgcc_eh.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/ chmod 644 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc_eh.a aarch64-linux-gnu-ranlib /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13 /usr/bin/install -c -m 644 libgcc.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/ chmod 644 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc.a aarch64-linux-gnu-ranlib /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc.a /usr/bin/install -c -m 644 libgcov.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/ chmod 644 /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcov.a aarch64-linux-gnu-ranlib /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/$file; \ /usr/bin/install -c -m 644 $file /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/; \ case $file in \ *.a) \ aarch64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include /usr/bin/install -c -m 644 unwind.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include make[5]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[5]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' /usr/bin/make -C /<>/builddir/gcc install DESTDIR=/<>/testrundir/gcc make[2]: Entering directory '/<>/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. aarch64-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/vhdl/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/vhdl/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/vhdl/grt.lst echo "# link options for executables" > lib/ghdl/gcc/vhdl/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/gcc/vhdl/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/gcc/vhdl/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/gcc/vhdl/grt-shared.lst; done mkdir -p "/<>/testrundir/gcc/usr" mkdir -p "/<>/testrundir/gcc/usr/bin" mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc" mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl" mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl" install -m 644 lib/ghdl/gcc/vhdl/libgrt.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/vhdl/grt.lst /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/vhdl/grt-exec.lst /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/vhdl/grt-shared.lst /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /<>/builddir/gcc/../../src/grt/grt.ver /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/vhdl/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/vhdl/libbacktrace.a /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/gcc/vhdl/$d/* "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/"; mkdir -p "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/" gcc-13 -c -g -o vpi_thunk.o /<>/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 gcc-13 -c -g -o vhpi_thunk.o /<>/builddir/gcc/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 mkdir -p lib/ghdl/gcc gcc-13 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -shared -o lib/ghdl/gcc/libghdlvpi.so vpi_thunk.o vhpi_thunk.o install -m 755 -p lib/ghdl/gcc/libghdlvpi.so "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vpi_user.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vhpi_user.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 755 -p libghdl.a "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p libghdl.link "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p ../../src/synth/include/synth.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/<>/testrundir/gcc/usr/bin/" install -m 755 -p lib/libghw.so "/<>/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p ../../ghw/libghw.h "/<>/testrundir/gcc/usr/lib/ghdl/include/ghdl/" "/<>/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=87 > "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl" "/<>/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=93 > "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl" "/<>/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=08 > "/<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/gcc' mv /<>/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc \ /<>/testrundir/gcc/usr/bin/ghdl-gcc mv /<>/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a \ /<>/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a ln -sf ghdl/gcc/lib/gcc /<>/testrundir/gcc/usr/lib/gcc debian/tests/ghdl-tests buildtest gcc > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /<>/testrundir/gcc/usr/bin/ghdl-gcc GHDL 4.0.0 (Ubuntu 4.0.0+dfsg-0ubuntu5) [Dunoon edition] Compiled with GNAT Version: 13.2.0 GCC 13.2.0 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2024 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /<>/testrundir/gcc/usr/bin/ghdl-gcc COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version help-options Display help for analyzer options alias: --help-options, opts-help, --options-help help-warnings Display help about all the warnings alias: --help-warnings To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 4 test workers. sanity 005examples: ok sanity 000hello: failed sanity 002hello2008: failed sanity 008coverage: ok sanity 006upf: failed sanity 004all08: failed sanity 001hello87: failed sanity 000hello: failed analyze hello.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/aarch64-linux-gnu/13/ghdl1 not found sanity 002hello2008: failed analyze hello.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/aarch64-linux-gnu/13/ghdl1 not found sanity 006upf: failed analyze test.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/aarch64-linux-gnu/13/ghdl1 not found sanity 004all08: failed analyze all08.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/aarch64-linux-gnu/13/ghdl1 not found sanity 001hello87: failed analyze hello.vhdl /<>/testrundir/gcc/usr/bin/ghdl-gcc: installation problem: libexec/gcc/aarch64-linux-gnu/13/ghdl1 not found sanity test failed (000hello 002hello2008 006upf 004all08 001hello87 ) make[1]: [debian/rules:335: /<>/builddir/stamps/test-gcc] Error 1 (ignored) touch /<>/builddir/stamps/test-gcc dh override_dh_auto_test make[1]: Leaving directory '/<>' create-stamp debian/debhelper-build-stamp dh_prep -a debian/rules override_dh_auto_install make[1]: Entering directory '/<>' dh_testdir ------------------------------------------------------------ Installing with llvm backend ------------------------------------------------------------ /usr/bin/make -C /<>/builddir/llvm install DESTDIR=../../debian/tmp make[2]: Entering directory '/<>/builddir/llvm' mkdir -p "../../debian/tmp/usr" mkdir -p "../../debian/tmp/usr/bin" mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm" mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl" mkdir -p "../../debian/tmp/usr/lib/ghdl/include/ghdl" VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#4.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-13" \ CXXFLAGS=" -g -Wall -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5" \ GNATMAKE="gnatmake -v -j4 -R -eS " all make[3]: Entering directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-13 \ `llvm-config --ldflags --libs --system-libs` -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "filesystem.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-coverage.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "mutils.ali" being checked ... "types_utils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "grt-types.ali" being checked ... "grt-algos.ali" being checked ... "utils_io.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "elab-vhdl_types.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt-c.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-errors.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "elab-vhdl_context.ali" being checked ... "grt-strings.ali" being checked ... "grt-arith.ali" being checked ... "netlists.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "synth-source.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-files.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-builders.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-files_operations.ali" being checked ... "grt-stdio.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "grt-table.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "grt-dynload.ali" being checked ... "synthesis.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "grt-readline.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-verilog_insts.ali" being checked ... "grt-readline_none.ali" being checked ... "netlists-butils.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "verilog.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "verilog-vpi.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-standard.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-sv_strings.ali" being checked ... "verilog-disp_tree.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "name_maps.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-astdio.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/<>/builddir/llvm' gnatmake -v -j4 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "filesystem.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "version.ali" being checked ... "std_names.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "verilog-vpi.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "mutils.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "grt-c.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-severity.ali" being checked ... "grt-dynload.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "grt-readline.ali" being checked ... "grt-table.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm ../../debian/tmp/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm ../../debian/tmp/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. aarch64-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/libgrt.a ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/vhdl/grt.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/grt-exec.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/vhdl/grt-shared.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /<>/builddir/llvm/../../src/grt/grt.ver ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/llvm/vhdl/$d/* "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/"; mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p lib/ghdl/llvm/libghdlvpi.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vpi_user.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/llvm/../../src/grt/vhpi_user.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 755 -p libghdl.a "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p libghdl.link "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p ../../src/synth/include/synth.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "../../debian/tmp/usr/bin/" install -m 755 -p lib/libghw.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p ../../ghw/libghw.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=87 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=93 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=08 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/llvm' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/aarch64-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/llvm/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/llvm/vhdl/src mv debian/tmp/usr/lib/ghdl/llvm/libghdl-*.so debian/tmp/usr/lib/aarch64-linux-gnu/ mv debian/tmp/usr/lib/ghdl/llvm/libghdl.a debian/tmp/usr/lib/aarch64-linux-gnu/ dh_testdir ------------------------------------------------------------ Installing with gcc backend ------------------------------------------------------------ # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards. mkdir -p /<>/debian/tmp/usr/bin ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /<>/debian/tmp/usr/bin/ghdl-gcc /usr/bin/make -C /<>/builddir/gcc/build install DESTDIR=/<>/debian/tmp make[2]: Entering directory '/<>/builddir/gcc/build' make[3]: Entering directory '/<>/builddir/gcc/build' /bin/bash ../src/mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc /<>/debian/tmp/usr/lib/ghdl/gcc mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc /<>/debian/tmp/usr/lib/ghdl/gcc make[4]: Entering directory '/<>/builddir/gcc/build/fixincludes' rm -rf /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include/README /usr/bin/install -c fixinc.sh /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/fixinc.sh /usr/bin/install -c fixincl /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/fixincl /usr/bin/install -c mkheaders /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/mkheaders make[4]: Leaving directory '/<>/builddir/gcc/build/fixincludes' make[4]: Entering directory '/<>/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/<>/builddir/gcc/build/lto-plugin' /usr/bin/mkdir -p '/<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/<>/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/<>/builddir/gcc/build/gcc' gnatmake -v -j4 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j4 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl1" final executable "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j4 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=aarch64-linux-gnu-g++-13 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/<>/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/bin mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/bin /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/info mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/info /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-lto-dump-13 mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/bin mkdir: cannot create directory ‘/<>/debian/tmp/usr/lib/ghdl/gcc/bin’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:173: vhdl.install-common] Error 1 (ignored) rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /<>/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/lib mkdir: cannot create directory ‘/<>/debian/tmp/usr/lib/ghdl/gcc/lib’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:176: vhdl.install-common] Error 1 (ignored) mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 cc1obj cc1objplus rust1 ghdl1; do \ if [ -f $file ] ; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ /usr/bin/install -c $file /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/$file; \ else true; fi; \ done rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-13; \ /usr/bin/install -c gcov /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-tool-13; \ /usr/bin/install -c \ gcov-tool /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-tool-13; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-dump-13; \ /usr/bin/install -c \ gcov-dump /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-dump-13; \ fi; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include rm -rf /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed mkdir /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed chmod a+rx /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed; tar xpf - ) files=`cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/$i; \ fi; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include${multi_dir}/limits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-cpp-13; \ if [ x != x ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc//aarch64-linux-gnu-cpp-13; \ /usr/bin/install -c -m 755 cpp /<>/debian/tmp/usr/lib/ghdl/gcc//aarch64-linux-gnu-cpp-13; \ else true; fi; \ fi rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 /usr/bin/install -c -m 644 doc/gcc.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 /usr/bin/install -c -m 644 doc/cpp.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/lto-dump.1 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info if [ -f doc/aarch64-linux-gnu-cpp-13.info ]; then \ for f in doc/aarch64-linux-gnu-cpp-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info if [ -f doc/aarch64-linux-gnu-gcc-13.info ]; then \ for f in doc/aarch64-linux-gnu-gcc-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info if [ -f doc/aarch64-linux-gnu-cppinternals-13.info ]; then \ for f in doc/aarch64-linux-gnu-cppinternals-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info if [ -f doc/aarch64-linux-gnu-gccinstall-13.info ]; then \ for f in doc/aarch64-linux-gnu-gccinstall-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info; \ else true; fi; \ else true; fi; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info if [ -f doc/aarch64-linux-gnu-gccint-13.info ]; then \ for f in doc/aarch64-linux-gnu-gccint-13.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info ]; then \ install-info --dir-file=/<>/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /<>/debian/tmp/usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info; \ else true; fi; \ else true; fi; /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp$dir; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/gcc-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/gcc-13.mo; \ done /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13 /usr/bin/install -c xgcc /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13 if test "" != "yes" ; then \ if [ "aarch64-linux-gnu-gcc-13" != "aarch64-linux-gnu-gcc-13" ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln aarch64-linux-gnu-gcc-13 aarch64-linux-gnu-gcc-13 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "aarch64-linux-gnu-gcc-13" != "aarch64-linux-gnu-aarch64-linux-gnu-gcc-13" ]; then \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-tmp; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln aarch64-linux-gnu-gcc-13 aarch64-linux-gnu-gcc-tmp && \ mv -f aarch64-linux-gnu-gcc-tmp aarch64-linux-gnu-aarch64-linux-gnu-gcc-13 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-13&;s&^&aarch64-linux-gnu-&'` ;\ target_install_name=aarch64-linux-gnu-`echo $i|sed 's&$&-13&;s&^&aarch64-linux-gnu-&'` ; \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /<>/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin /usr/bin/install -c -m 644 gtype.state /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/gtype.state /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin /usr/bin/install -c gengtype /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin/gengtype /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/config/aarch64/aarch64-arches.def ../../src/gcc/config/aarch64/aarch64-cores.def ../../src/gcc/config/aarch64/aarch64-elf.h ../../src/gcc/config/aarch64/aarch64-errata.h ../../src/gcc/config/aarch64/aarch64-fusion-pairs.def ../../src/gcc/config/aarch64/aarch64-linux.h ../../src/gcc/config/aarch64/aarch64-option-extensions.def ../../src/gcc/config/aarch64/aarch64-opts.h ../../src/gcc/config/aarch64/aarch64-protos.h ../../src/gcc/config/aarch64/aarch64-tuning-flags.def ../../src/gcc/config/aarch64/aarch64.h ../../src/gcc/config/aarch64/biarchlp64.h ../../src/gcc/config/arm/aarch-common-protos.h ../../src/gcc/config/arm/aarch-common.h ../../src/gcc/config/elfos.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h align.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/aarch64/aarch64-elf.h config/aarch64/aarch64-errata.h config/aarch64/aarch64-linux.h config/aarch64/aarch64-protos.h config/aarch64/aarch64.h config/aarch64/biarchlp64.h config/arm/aarch-common-protos.h config/elfos.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-protos.h config/linux.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h poly-int-types.h poly-int.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /<>/debian/tmp$dest; \ dir=`dirname $dest`; \ /bin/bash ../../src/gcc/../mkinstalldirs /<>/debian/tmp$dir; \ /usr/bin/install -c -m 644 $path /<>/debian/tmp$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-arches.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-arches.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64 /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-cores.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-cores.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-elf.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-elf.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-errata.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-errata.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-fusion-pairs.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-fusion-pairs.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-option-extensions.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-option-extensions.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-opts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-tuning-flags.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-tuning-flags.def /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/biarchlp64.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/biarchlp64.h /usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common-protos.h mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm /usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cp/cp-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/d/d-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/m2/m2-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/objc/objc-tree.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-common.def mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-elf.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-elf.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-errata.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-errata.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/aarch64.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/aarch64/biarchlp64.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/biarchlp64.h /usr/bin/install -c -m 644 ../../src/gcc/config/arm/aarch-common-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux.h /usr/bin/install -c -m 644 configargs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-client-data-hooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-client-data-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-infer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-infer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-op.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-op.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-notes.def /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/logical-location.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/make-unique.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/make-unique.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts-jobserver.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts-jobserver.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-logical-location.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-pretty-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-storage.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range-storage.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/xcoff.h /usr/bin/install -c -m 644 b-header-vars /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/b-header-vars make[4]: Leaving directory '/<>/builddir/gcc/build/gcc' make[4]: Entering directory '/<>/builddir/gcc/build/intl' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/intl' make[4]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/<>/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/<>/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/<>/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES mkdir -p -- /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/cpplib-13.mo; \ /usr/bin/install -c -m 644 $cat /<>/debian/tmp$dir/cpplib-13.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_CN.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /<>/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo make[4]: Leaving directory '/<>/builddir/gcc/build/libcpp' make[4]: Entering directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/<>/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/<>/builddir/gcc/build/libiberty' make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;aarch64-linux-gnu-ranlib ./libiberty.an ); \ mv -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.an /<>/debian/tmp/usr/lib/ghdl/gcc/lib/`aarch64-linux-gnu-gcc-13 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/bash ../../src/libiberty/../mkinstalldirs /<>/debian/tmp${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /<>/debian/tmp${thd}; \ done; \ fi make[5]: Entering directory '/<>/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/<>/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/<>/builddir/gcc/build/libiberty' make[4]: Entering directory '/<>/builddir/gcc/build/c++tools' /bin/bash ../../src/c++tools/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 /usr/bin/install -c g++-mapper-server /<>/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13 make[4]: Leaving directory '/<>/builddir/gcc/build/c++tools' make[4]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Entering directory '/<>/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /usr/bin/mkdir -p '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin' make[5]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Leaving directory '/<>/builddir/gcc/build/libcc1' make[4]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13 /usr/bin/install -c -m 644 libgcc_eh.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/ chmod 644 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc_eh.a aarch64-linux-gnu-ranlib /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /<>/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13 /usr/bin/install -c -m 644 libgcc.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/ chmod 644 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc.a aarch64-linux-gnu-ranlib /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc.a /usr/bin/install -c -m 644 libgcov.a /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/ chmod 644 /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcov.a aarch64-linux-gnu-ranlib /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o crtfastmath.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o"; \ for file in $parts; do \ rm -f /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/$file; \ /usr/bin/install -c -m 644 $file /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/; \ case $file in \ *.a) \ aarch64-linux-gnu-ranlib ../.././gcc/$file ;; \ esac; \ done /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include /usr/bin/install -c -m 644 unwind.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include /bin/bash ../../../src/libgcc/../mkinstalldirs /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /<>/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include make[5]: Entering directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[5]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[4]: Leaving directory '/<>/builddir/gcc/build/aarch64-linux-gnu/libgcc' make[3]: Leaving directory '/<>/builddir/gcc/build' make[2]: Leaving directory '/<>/builddir/gcc/build' /usr/bin/make -C /<>/builddir/gcc install DESTDIR=/<>/debian/tmp make[2]: Entering directory '/<>/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -c -aI/<>/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -fstack-clash-protection -mbranch-protection=standard -Wno-format -Wno-error=format-security -fdebug-prefix-map=/<>=/usr/src/ghdl-4.0.0+dfsg-0ubuntu5 -gno-record-gcc-switches -cargs -fPIC GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j4 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. aarch64-linux-gnu-gnatbind-13 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j4 -R -eS -u -c -fPIC -gnatec/<>/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<>/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 13.2.0 Copyright (C) 1992-2023, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/vhdl/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/vhdl/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/vhdl/grt.lst mkdir -p "/<>/debian/tmp/usr" mkdir -p "/<>/debian/tmp/usr/bin" mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc" mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl" mkdir -p "/<>/debian/tmp/usr/lib/ghdl/include/ghdl" install -m 644 lib/ghdl/gcc/vhdl/libgrt.a /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/vhdl/grt.lst /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/vhdl/grt-exec.lst /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/vhdl/grt-shared.lst /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /<>/builddir/gcc/../../src/grt/grt.ver /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/vhdl/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/vhdl/libbacktrace.a /<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/gcc/vhdl/$d/* "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/"; mkdir -p "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p lib/ghdl/gcc/libghdlvpi.so "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vpi_user.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p /<>/builddir/gcc/../../src/grt/vhpi_user.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-4_0_0.so "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 755 -p libghdl.a "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p libghdl.link "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p ../../src/synth/include/synth.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/<>/debian/tmp/usr/bin/" install -m 755 -p lib/libghw.so "/<>/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p ../../ghw/libghw.h "/<>/debian/tmp/usr/lib/ghdl/include/ghdl/" "/<>/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=87 > "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl" "/<>/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=93 > "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl" "/<>/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=08 > "/<>/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/<>/builddir/gcc' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/aarch64-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/gcc/vhdl/src debian/tmp/usr/lib/ghdl ln -s ../../src debian/tmp/usr/lib/ghdl/gcc/vhdl/src mv debian/tmp/usr/lib/ghdl/gcc/libghdl-*.so debian/tmp/usr/lib/aarch64-linux-gnu/ mv debian/tmp/usr/lib/ghdl/gcc/libghdl.a debian/tmp/usr/lib/aarch64-linux-gnu/ ------------------------------------------------------------ Common install final steps ------------------------------------------------------------ install -pD debian/ghdl.wrapper /<>/debian/tmp/usr/bin/ghdl cd debian/tmp/usr/lib/aarch64-linux-gnu && ln -s libghdl-*.so libghdl.so rm -f debian/tmp/usr/lib/ghdl/src/ieee2008/LICENSE cd debian/tmp; find ! -type d ./usr/bin/ghdl-llvm ./usr/bin/ghdl ./usr/bin/ghwdump ./usr/bin/ghdl-gcc ./usr/lib/ghdl/llvm/libghdlvpi.so ./usr/lib/ghdl/llvm/ghdl1-llvm ./usr/lib/ghdl/llvm/libghw.so ./usr/lib/ghdl/llvm/libghdl.link ./usr/lib/ghdl/llvm/vhdl/ansi_color.sh ./usr/lib/ghdl/llvm/vhdl/grt.ver ./usr/lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf ./usr/lib/ghdl/llvm/vhdl/std/v08/env.o ./usr/lib/ghdl/llvm/vhdl/std/v08/textio.o ./usr/lib/ghdl/llvm/vhdl/std/v08/std_standard.o ./usr/lib/ghdl/llvm/vhdl/std/v08/env-body.o ./usr/lib/ghdl/llvm/vhdl/std/v08/textio-body.o ./usr/lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf ./usr/lib/ghdl/llvm/vhdl/std/v93/textio.o ./usr/lib/ghdl/llvm/vhdl/std/v93/std_standard.o ./usr/lib/ghdl/llvm/vhdl/std/v93/textio-body.o ./usr/lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf ./usr/lib/ghdl/llvm/vhdl/std/v87/textio.o ./usr/lib/ghdl/llvm/vhdl/std/v87/std_standard.o ./usr/lib/ghdl/llvm/vhdl/std/v87/textio-body.o ./usr/lib/ghdl/llvm/vhdl/grt.lst ./usr/lib/ghdl/llvm/vhdl/src ./usr/lib/ghdl/llvm/vhdl/grt-shared.lst ./usr/lib/ghdl/llvm/vhdl/libgrt.a ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_bit_context.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_textio.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_std_context.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_arith.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_float_types.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_signed.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std.o ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_textio.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_arith.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_signed.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std.o ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_textio.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_arith.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_unsigned.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_signed.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf-body.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std.o ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit-body.o ./usr/lib/ghdl/llvm/vhdl/vendors/config.psm1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-lattice.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-uvvm.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-vivado.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/config.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-altera.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-uvvm.sh ./usr/lib/ghdl/llvm/vhdl/vendors/shared.psm1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-intel.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-osvvm.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-ise.sh ./usr/lib/ghdl/llvm/vhdl/vendors/filter.analyze.sh ./usr/lib/ghdl/llvm/vhdl/vendors/compile-intel.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-osvvm.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/shared.sh ./usr/lib/ghdl/llvm/vhdl/vendors/README.md ./usr/lib/ghdl/llvm/vhdl/vendors/compile-lattice.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-ise.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-altera.ps1 ./usr/lib/ghdl/llvm/vhdl/vendors/compile-xilinx-vivado.sh ./usr/lib/ghdl/llvm/vhdl/grt-exec.lst ./usr/lib/ghdl/src/std/env.vhdl ./usr/lib/ghdl/src/std/env-body.vhdl ./usr/lib/ghdl/src/std/v08/textio.vhdl ./usr/lib/ghdl/src/std/v08/textio-body.vhdl ./usr/lib/ghdl/src/std/v08/standard.vhdl ./usr/lib/ghdl/src/std/v93/textio.vhdl ./usr/lib/ghdl/src/std/v93/textio-body.vhdl ./usr/lib/ghdl/src/std/v93/standard.vhdl ./usr/lib/ghdl/src/std/v87/textio.vhdl ./usr/lib/ghdl/src/std/v87/textio-body.vhdl ./usr/lib/ghdl/src/std/v87/standard.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_textio.vhdl ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc.vhdl ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc-body.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_arith.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_signed.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_misc.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_misc-body.vhdl ./usr/lib/ghdl/src/synopsys/std_logic_unsigned.vhdl ./usr/lib/ghdl/src/ieee/math_complex.vhdl ./usr/lib/ghdl/src/ieee/math_real-body.vhdl ./usr/lib/ghdl/src/ieee/math_real.vhdl ./usr/lib/ghdl/src/ieee/math_complex-body.vhdl ./usr/lib/ghdl/src/ieee/v93/std_logic_1164.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_std.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_std-body.vhdl ./usr/lib/ghdl/src/ieee/v93/std_logic_1164-body.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_bit.vhdl ./usr/lib/ghdl/src/ieee/v93/numeric_bit-body.vhdl ./usr/lib/ghdl/src/ieee/v87/std_logic_1164.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_std.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_std-body.vhdl ./usr/lib/ghdl/src/ieee/v87/std_logic_1164-body.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_bit.vhdl ./usr/lib/ghdl/src/ieee/v87/numeric_bit-body.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl ./usr/lib/ghdl/src/ieee2008/math_complex.vhdl ./usr/lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl ./usr/lib/ghdl/src/ieee2008/std_logic_1164.vhdl ./usr/lib/ghdl/src/ieee2008/std_logic_textio.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std.vhdl ./usr/lib/ghdl/src/ieee2008/math_real-body.vhdl ./usr/lib/ghdl/src/ieee2008/math_real.vhdl ./usr/lib/ghdl/src/ieee2008/float_generic_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std-body.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_float_types.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/math_complex-body.vhdl ./usr/lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl ./usr/lib/ghdl/src/ieee2008/ieee_std_context.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_bit-body.vhdl ./usr/lib/ghdl/src/ieee2008/fixed_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl ./usr/lib/ghdl/src/ieee2008/ieee_bit_context.vhdl ./usr/lib/ghdl/src/ieee2008/float_pkg.vhdl ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl ./usr/lib/ghdl/src/upf/upf-body.vhdl ./usr/lib/ghdl/src/upf/upf.vhdl ./usr/lib/ghdl/gcc/share/man/man7/gpl.7 ./usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 ./usr/lib/ghdl/gcc/share/man/man7/gfdl.7 ./usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcc-13.1 ./usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-dump-13.1 ./usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-lto-dump-13.1 ./usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-cpp-13.1 ./usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-tool-13.1 ./usr/lib/ghdl/gcc/share/man/man1/ghdl.1 ./usr/lib/ghdl/gcc/share/man/man1/aarch64-linux-gnu-gcov-13.1 ./usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-13.mo ./usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-13.mo ./usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gcc-13.info ./usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccint-13.info ./usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cppinternals-13.info ./usr/lib/ghdl/gcc/share/info/ghdl.info ./usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-cpp-13.info ./usr/lib/ghdl/gcc/share/info/aarch64-linux-gnu-gccinstall-13.info ./usr/lib/ghdl/gcc/libghdlvpi.so ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-cpp-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-tool-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-nm-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-aarch64-linux-gnu-gcc-ar-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-aarch64-linux-gnu-gcc-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-aarch64-linux-gnu-gcc-nm-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-ar-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcov-dump-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-ranlib-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-gcc-13 ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-lto-dump-13 ./usr/lib/ghdl/gcc/bin/ghdl-gcc ./usr/lib/ghdl/gcc/bin/aarch64-linux-gnu-aarch64-linux-gnu-gcc-ranlib-13 ./usr/lib/ghdl/gcc/lib/libcc1.so.0.0.0 ./usr/lib/ghdl/gcc/lib/libcc1.la ./usr/lib/ghdl/gcc/lib/libgcc_s.so.1 ./usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a ./usr/lib/ghdl/gcc/lib/libgcc_s.so ./usr/lib/ghdl/gcc/lib/libcc1.so ./usr/lib/ghdl/gcc/lib/libcc1.so.0 ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc_eh.a ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtbeginT.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtfastmath.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtbegin.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtbeginS.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcc.a ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/mkheaders.conf ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/macro_list ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/fixinc_list ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/gsyslimits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include/limits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/install-tools/include/README ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.so.0 ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/gtype.state ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.so ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.so.0 ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.la ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcp1plugin.so.0.0.0 ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.so.0.0.0 ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.so ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/libcc1plugin.la ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range-storage.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/attribs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa-warn-access.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dom.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-rich-location.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-live.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-hasher.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/logical-location.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/xcoff.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/libiberty.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-dfa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mode-classes.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphite.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coverage.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-core.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opt-suggestions.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-attr.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/signop.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/internal-fn.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fold-const-call.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-common.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-common.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-pragma.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-family/c-objc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-path.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/line-map.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regcprop.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect2.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/safe-ctype.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-map.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gtype-desc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/asan.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-predicate.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-nested.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-flags.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opt-problem.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/basic-block.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-addr.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/domwalk.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/obstack.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest-diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgrtl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/spellcheck-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ira-int.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/obstack-utils.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/libfuncs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-constants.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/objc/objc-tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dfp.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lower-subreg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/typeclass.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-config.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cselib.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/except.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-iterator.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgexpand.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/timevar.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtx-vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-address.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/poly-int.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-low.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-logical-location.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2asm.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/system.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-event-id.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tsan.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bversion.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-sccvn.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/incpath.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/make-unique.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-modes-inline.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/output.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-symtab.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcse.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-cache.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ctfc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/et-forest.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/range-op.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/addresses.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-niter.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/iterator-utils.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-outof-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cpplib.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/intl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-insns.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symbol-summary.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/read-md.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-parloops.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-pointer-equiv.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfg-flags.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ubsan.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm-preds.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/alloc-pool.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-reassoc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcse-common.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-stdarg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-alias.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stor-layout.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/run-rtl-passes.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tristate.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched-dump.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/treestruct.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-predict.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hw-doloop.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2ctf.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-notes.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-ccp.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sparseset.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphviz.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fibonacci_heap.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cppbuiltin.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/configargs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-builder.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-operands.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlanal.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlhooks-def.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/varasm.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-ivopts.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-codes.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/typed-splay-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-color.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hard-reg-set.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/calls.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-spec.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/substring-locations.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin-api.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-section-names.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-infer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/function.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sync-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtin-types.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/align.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dbgcnt.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lra.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hosthooks-def.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-modref.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab-thunks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtins.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-predicate-analysis.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-param-manipulation.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/profile.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/m2/m2-tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-simd-clone.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dce.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-libfuncs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-math-opts.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pass_manager.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vectorizer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/version.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/langhooks-def.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfghooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/glimits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dce.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-ssa-warn-restrict.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-def.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgloopmanip.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hashtab.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dominance.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/function-abi.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gtm-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/auto-profile.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stmt.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/is-a.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-table.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/internal-fn.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-edge.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int-print.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/emit-rtl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bitmap.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sched-int.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-url.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lra-int.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-vrp.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/timevar.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/langhooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fold-const.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-loop-manip.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-set.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/alias.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-prop.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lcm.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/limity.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/shortest-paths.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/print-rtl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-map-traits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-ter.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vec.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/realmpfr.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/bb-reorder.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/targhooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-pass.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-cfgcleanup.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgbuild.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/limitx.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/poly-int-types.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/predict.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/errors.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/highlev-plugin-common.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfganal.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ira.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-modref-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-object-size.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-dump.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cppdefault.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-phinodes.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-icf-gimple.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-array-bounds.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/loop-unroll.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/fixed-value.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/print-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/d/d-tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssanames.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coroutine-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/explow.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/all-tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/data-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regrename.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/conditions.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/stringpool.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/options.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/int-vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-metadata.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sese.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/symtab-clones.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vec-perm-indices.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/trans-mem.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graph.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-gori.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-affine.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/wide-int-bitmask.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/profile-count.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tsystem.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtins.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-cfg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dump-context.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimplify.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-globals.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/color-macros.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/predict.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-fold.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/coretypes.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ccmp.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regset.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-check.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/recog.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/machmode.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optinfo.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/lto-compress.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/context.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgcleanup.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-iter.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-scopedtables.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-scalar-evolution.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-match.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/real.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-modes.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sel-sched-ir.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-low.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcov-io.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-strlen.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/distro-defaults.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtlhash.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vector-builder.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/splay-tree-utils.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gomp-constants.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/auto-host.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/statistics.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/passes.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-op.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/debug.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-prof.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/reload.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect-utils.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/spellcheck.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cp/cp-tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/inchash.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ggc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tracer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-offload.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vtable-verify.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcov-counter.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-core.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cgraph.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-ref.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-threadedge.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-alias-compare.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-inline.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-reference.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/json.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ggc-internal.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cfgloop.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsyslimits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gensupport.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs-query.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/collect2-aix.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/shrink-wrap.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gengtype.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-if-conv.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-general.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pass-instances.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/valtrack.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hwint.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ada/gcc-interface/ada-tree.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-propagate.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-expr.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/graphds.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/generic-match.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/memmodel.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/builtin-attrs.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-client-data-hooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sanitizer.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-data-ref.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-switch-conversion.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mem-stats.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ssa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/backend.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/resource.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/convert.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/reg-notes.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/escaped_string.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/df.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/file-find.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-iterator.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/insn-attr-common.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/attr-fnspec.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vmsdbg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/target-hooks-macros.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gcc-plugin.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-trace.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tm_p.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-threadupdate.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsyms.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/double-int.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sreal.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-fnsummary.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/toplev.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hash-traits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/vr-values.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/rtl-error.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ssa-iterators.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ddg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-icf.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/regs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/digraph.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/genrtl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dojump.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/cif-code.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dumpfile.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimplify-me.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/streamer-hooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/filenames.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/selftest-rtl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-builtins.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gsstruct.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/edit-context.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ansidecl.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin-version.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-coalesce.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/md5.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/b-header-vars ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-streamer.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/plugin.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optabs.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/file-prefix-map.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mem-stats-traits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/machmode.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-query.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts-diagnostic.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-opts.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-tuning-flags.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-elf.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-arches.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-option-extensions.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-protos.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-errata.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-linux.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/biarchlp64.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-cores.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/aarch64/aarch64-fusion-pairs.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux-protos.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/glibc-stdint.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/elfos.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/linux.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/initfini-array.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/gnu-user.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/config/arm/aarch-common-protos.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-range-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-chrec.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/opts-jobserver.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/read-rtl-function.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ordered-hash-map.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/pointer-query.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-inline.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/input.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-pretty-print.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/c-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ifcvt.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/memory-block.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-range-fold.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/optinfo-emit-json.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/prefix.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/expmed.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/range.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-into-ssa.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dbgcnt.def ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/mux-utils.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple-walk.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-eh.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/dwarf2out.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/splay-tree.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/defaults.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/ipa-utils.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/array-traits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/hosthooks.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/expr.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/flag-types.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/flags.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/value-relation.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/gimple.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-sra.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/omp-expand.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-ssa-dse.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/sbitmap.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/tree-hash-traits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/plugin/include/diagnostic-path.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtoffloadbegin.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtend.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/libgcov.a ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtendS.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtoffloadend.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/pthread.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include-fixed/README ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/crtoffloadtable.o ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/syslimits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/iso646.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/arm_neon.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/gcov.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/limits.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdarg.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdbool.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/varargs.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/arm_bf16.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdalign.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/arm_fp16.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdatomic.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/arm_sve.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdnoreturn.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdint-gcc.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdint.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stddef.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/float.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/stdfix.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/unwind.h ./usr/lib/ghdl/gcc/lib/gcc/aarch64-linux-gnu/13/include/arm_acle.h ./usr/lib/ghdl/gcc/libghw.so ./usr/lib/ghdl/gcc/libghdl.link ./usr/lib/ghdl/gcc/vhdl/ansi_color.sh ./usr/lib/ghdl/gcc/vhdl/grt.ver ./usr/lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf ./usr/lib/ghdl/gcc/vhdl/std/v08/env.o ./usr/lib/ghdl/gcc/vhdl/std/v08/textio.o ./usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o ./usr/lib/ghdl/gcc/vhdl/std/v08/env-body.o ./usr/lib/ghdl/gcc/vhdl/std/v08/textio-body.o ./usr/lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf ./usr/lib/ghdl/gcc/vhdl/std/v93/textio.o ./usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o ./usr/lib/ghdl/gcc/vhdl/std/v93/textio-body.o ./usr/lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf ./usr/lib/ghdl/gcc/vhdl/std/v87/textio.o ./usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o ./usr/lib/ghdl/gcc/vhdl/std/v87/textio-body.o ./usr/lib/ghdl/gcc/vhdl/grt.lst ./usr/lib/ghdl/gcc/vhdl/src ./usr/lib/ghdl/gcc/vhdl/grt-shared.lst ./usr/lib/ghdl/gcc/vhdl/libgrt.a ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_bit_context.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_textio.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_std_context.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_arith.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_float_types.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_signed.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std.o ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_textio.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_arith.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_signed.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std.o ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_textio.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_arith.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_unsigned.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_signed.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf-body.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std.o ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit-body.o ./usr/lib/ghdl/gcc/vhdl/vendors/config.psm1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-lattice.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-uvvm.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-vivado.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/config.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-altera.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-uvvm.sh ./usr/lib/ghdl/gcc/vhdl/vendors/shared.psm1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-intel.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-osvvm.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-ise.sh ./usr/lib/ghdl/gcc/vhdl/vendors/filter.analyze.sh ./usr/lib/ghdl/gcc/vhdl/vendors/compile-intel.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-osvvm.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/shared.sh ./usr/lib/ghdl/gcc/vhdl/vendors/README.md ./usr/lib/ghdl/gcc/vhdl/vendors/compile-lattice.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-ise.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-altera.ps1 ./usr/lib/ghdl/gcc/vhdl/vendors/compile-xilinx-vivado.sh ./usr/lib/ghdl/gcc/vhdl/grt-exec.lst ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/collect2 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/cc1 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/liblto_plugin.la ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/ghdl1 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/fixinc.sh ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/mkinstalldirs ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/mkheaders ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/install-tools/fixincl ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/plugin/gengtype ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/lto1 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/liblto_plugin.so ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/g++-mapper-server ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/lto-wrapper ./usr/lib/ghdl/include/ghdl/libghw.h ./usr/lib/ghdl/include/ghdl/vhpi_user.h ./usr/lib/ghdl/include/ghdl/synth_gates.h ./usr/lib/ghdl/include/ghdl/vpi_user.h ./usr/lib/ghdl/include/ghdl/synth.h ./usr/lib/aarch64-linux-gnu/libghdl.so ./usr/lib/aarch64-linux-gnu/libghdl-4_0_0.so ./usr/lib/aarch64-linux-gnu/libghdl.a make[1]: Leaving directory '/<>' dh_install -a debian/rules override_dh_installdocs make[1]: Entering directory '/<>' dh_installdocs -pghdl -pghdl-mcode -pghdl-llvm -pghdl-gcc --link-doc=ghdl-common dh_installdocs --remaining-packages make[1]: Leaving directory '/<>' dh_installchangelogs -a dh_installman -a dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a dh_compress -a dh_fixperms -a dh_missing -a debian/rules override_dh_dwz make[1]: Entering directory '/<>' # dwz currently has problems with some debug sections created by the # current LLVM compiler and would cause this step to fail. #dh_dwz -a -Nghdl-llvm # Furthermore it appears to have problems with something in # libghdlvpi.so and runs into assertions, so disable it altogether for # now. make[1]: Leaving directory '/<>' debian/rules override_dh_strip make[1]: Entering directory '/<>' dh_strip -N libghdl-4-0-0 0dd792ac4907f8db79fac101dcb28092ac0b000e a77663691e5d799fbb78fc461233ba26f30f0f3e 5e598c19a1da3a01760284e62382d7fa6370faac c4cd2a60cb6c14bbde7b429bf20e5742d16ff766 78b84b94c186ab2bf7ced19ab6c0e873f0ce0c80 d21ace199b70113128ea9e689d3fce22875d4ff4 a185f6f9f12a915e5d728faa31cde304e9ce69d5 e2e165e0a85830bd6dcfd7a90b3dbab568b98b01 dh_strip -p libghdl-4-0-0 --dbgsym-migration='libghdl-2-0-0' ccf263f55f73cb60f0966e10fc331ff13b9feccb make[1]: Leaving directory '/<>' dh_makeshlibs -a dh_shlibdeps -a dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-aarch64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-aarch64.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-aarch64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-aarch64.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-aarch64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-aarch64.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-aarch64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-aarch64.so.1.usr-is-merged dh_installdeb -a debian/rules override_dh_gencontrol make[1]: Entering directory '/<>' dh_gencontrol -- -VBuilt-Using-GCC="gcc-13 (= 13.2.0-23ubuntu3)" dpkg-gencontrol: warning: Depends field of package ghdl-common: substitution variable ${shlibs:Depends} used, but is not defined make[1]: Leaving directory '/<>' dh_md5sums -a dh_builddeb -a INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl (in debian/ghdl); do_strip: , oemstrip: pkgstriptranslations: processing ghdl-gcc-dbgsym (in debian/.debhelper/ghdl-gcc/dbgsym-root); do_strip: , oemstrip: pkgstriptranslations: processing libghdl-4-0-0-dbgsym (in debian/.debhelper/libghdl-4-0-0/dbgsym-root); do_strip: , oemstrip: pkgstriptranslations: processing ghdl-tools (in debian/ghdl-tools); do_strip: , oemstrip: pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/ghdl/DEBIAN/control, package ghdl, directory debian/ghdl pkgstripfiles: processing control file: debian/.debhelper/ghdl-gcc/dbgsym-root/DEBIAN/control, package ghdl-gcc-dbgsym, directory debian/.debhelper/ghdl-gcc/dbgsym-root pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl ... dpkg-deb: building package 'ghdl-gcc-dbgsym' in 'debian/.debhelper/scratch-space/build-ghdl-gcc/ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb'. pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl' in '../ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb'. pkgstripfiles: processing control file: debian/.debhelper/libghdl-4-0-0/dbgsym-root/DEBIAN/control, package libghdl-4-0-0-dbgsym, directory debian/.debhelper/libghdl-4-0-0/dbgsym-root dpkg-deb: building package 'libghdl-4-0-0-dbgsym' in 'debian/.debhelper/scratch-space/build-libghdl-4-0-0/libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb'. pkgstripfiles: processing control file: debian/ghdl-tools/DEBIAN/control, package ghdl-tools, directory debian/ghdl-tools INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-common (in debian/ghdl-common); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... Renaming libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb to libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgstripfiles: processing control file: debian/ghdl-common/DEBIAN/control, package ghdl-common, directory debian/ghdl-common INFO: pkgstriptranslations version 154 pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-common ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-common' in '../ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb'. INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgstriptranslations: processing libghdl-dev (in debian/libghdl-dev); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstriptranslations version 154 pkgstripfiles: processing control file: debian/libghdl-dev/DEBIAN/control, package libghdl-dev, directory debian/libghdl-dev INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgstriptranslations: processing ghdl-gcc (in debian/ghdl-gcc); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgstripfiles: processing control file: debian/ghdl-gcc/DEBIAN/control, package ghdl-gcc, directory debian/ghdl-gcc pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-gcc ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb'. INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... Renaming ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb to ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-llvm (in debian/ghdl-llvm); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com INFO: pkgstripfiles: waiting for lock (ghdl-tools) ... pkgstripfiles: processing control file: debian/ghdl-llvm/DEBIAN/control, package ghdl-llvm, directory debian/ghdl-llvm pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-llvm ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-llvm' in '../ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb'. INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package ghdl-tools ... pkgstripfiles: No PNG files. dpkg-deb: building package 'ghdl-tools' in '../ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb'. INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-tools-dbgsym (in debian/.debhelper/ghdl-tools/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/.debhelper/ghdl-tools/dbgsym-root/DEBIAN/control, package ghdl-tools-dbgsym, directory debian/.debhelper/ghdl-tools/dbgsym-root dpkg-deb: building package 'ghdl-tools-dbgsym' in 'debian/.debhelper/scratch-space/build-ghdl-tools/ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb'. Renaming ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb to ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... INFO: pkgstriptranslations version 154 pkgstriptranslations: processing libghdl-4-0-0 (in debian/libghdl-4-0-0); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com INFO: pkgstripfiles: waiting for lock (libghdl-dev) ... pkgstripfiles: processing control file: debian/libghdl-4-0-0/DEBIAN/control, package libghdl-4-0-0, directory debian/libghdl-4-0-0 pkgstripfiles: Running PNG optimization (using 4 cpus) for package libghdl-4-0-0 ... pkgstripfiles: No PNG files. dpkg-deb: building package 'libghdl-4-0-0' in '../libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb'. Searching for duplicated docs in dependency libghdl-4-0-0... symlinking changelog.Debian.gz in libghdl-dev to file in libghdl-4-0-0 pkgstripfiles: Running PNG optimization (using 4 cpus) for package libghdl-dev ... pkgstripfiles: No PNG files. dpkg-deb: building package 'libghdl-dev' in '../libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb'. INFO: pkgstriptranslations version 154 pkgstriptranslations: processing ghdl-llvm-dbgsym (in debian/.debhelper/ghdl-llvm/dbgsym-root); do_strip: , oemstrip: pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/.debhelper/ghdl-llvm/dbgsym-root/DEBIAN/control, package ghdl-llvm-dbgsym, directory debian/.debhelper/ghdl-llvm/dbgsym-root dpkg-deb: building package 'ghdl-llvm-dbgsym' in 'debian/.debhelper/scratch-space/build-ghdl-llvm/ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb'. Renaming ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.deb to ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb dpkg-genbuildinfo --build=any -O../ghdl_4.0.0+dfsg-0ubuntu5_arm64.buildinfo dpkg-genchanges --build=any -mLaunchpad Build Daemon -O../ghdl_4.0.0+dfsg-0ubuntu5_arm64.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2024-04-09T08:48:44Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ ghdl_4.0.0+dfsg-0ubuntu5_arm64.changes: --------------------------------------- Format: 1.8 Date: Tue, 09 Apr 2024 09:34:37 +0200 Source: ghdl Binary: ghdl ghdl-common ghdl-gcc ghdl-llvm ghdl-tools libghdl-4-0-0 libghdl-dev Built-For-Profiles: noudeb Architecture: arm64 Version: 4.0.0+dfsg-0ubuntu5 Distribution: noble-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Matthias Klose Description: ghdl - VHDL compiler/simulator ghdl-common - VHDL compiler/simulator (common files) ghdl-gcc - VHDL compiler/simulator (GCC backend) ghdl-llvm - VHDL compiler/simulator (LLVM backend) ghdl-tools - VHDL compiler/simulator (tools) libghdl-4-0-0 - VHDL compiler/simulator (shared library) libghdl-dev - VHDL compiler/simulator (library development files) Changes: ghdl (4.0.0+dfsg-0ubuntu5) noble; urgency=medium . * Mark the mcode and llvm backend tests as flaky. See https://github.com/ghdl/ghdl/issues/2642 Checksums-Sha1: 6d0366306b642ff7de369c51acebc75db165dccc 166960 ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb bbeb6791e3723959d02b9dfd0ed225eeec60dcc5 110485492 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 7cf85c034788873cb98a51753e7211441ec7b889 12476564 ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb 7e34c5f9528883dfedef878ae0e93e2c84aaf484 10069940 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 27922efba3cc02dce7c89ba2d19e44f13b4a2b5f 3135510 ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb ffe222ee9477b5dd0be65e7b2e7c57651a92a78a 45658 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb f6c5bd29b39b00fb452a2e57e235730e6f77ab53 30832 ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb 5145b01895201d95c1fc1c749a78d831c29f18f1 12215 ghdl_4.0.0+dfsg-0ubuntu5_arm64.buildinfo 08ef91375452864c25e09f0d149d11ea5fd72e42 1536 ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb 9eb73af41dc34ad56716e3c63eb8199407395db1 3801312 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 8ecd150861e4e159a2c1fe4fef6927dd431d4b27 1470776 libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb 018463f34a2473b78ee0401e0a7cbec2e708e625 1804102 libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb Checksums-Sha256: 665258b675cfb91268535a70e4c32182ce50dc803698d66545d1350218274970 166960 ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb 08ea3406c9d28280ba22ed5187e0bf2df9d42f69c3d85ec526c2bef84a570093 110485492 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 4574a0dec85e46da4f9cadcd49dd19eb540210c2d72b3d47edb7b58f11a74878 12476564 ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb 2c15606c4aea0f77d998a41c070545aa961e29f45681bc559d79a30240cce602 10069940 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 0d13f459bc0471d7d7233fc1f92e56f79296b6237941642e71ca210393a855e6 3135510 ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb 3ba191882b4ec90102107af5fef49f39a60fbd5d12c32c43fd4ff1fb62763629 45658 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 35a5d51a38bf0da25ba74f083867c09feb52cf29d6df4ca46a2c0ee35d856fe7 30832 ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb 2edd4045bbd317fd93e04ee912e7493416e06f6108b71aa08b8d9148bf98b01a 12215 ghdl_4.0.0+dfsg-0ubuntu5_arm64.buildinfo b0ebde737438bbe4ecf5c54013af305a35ab70e5336b7014b4c4fafbc43f6f5d 1536 ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb acd45abf237d8a15e4c4aac559325b9a1b204afcc196dff274e455300bb40c44 3801312 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb c5fc908773121044bd248167e27c04efc579ce2bc9f3cdef0f33551f496e298b 1470776 libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb 5465ee561aff5bbd6461b30c4c6d73502317b9f8cc81dcd13a55dd0147f0c292 1804102 libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb Files: d4ab972bb9a8954db234cd997a0816c5 166960 electronics optional ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb b522e8231c03ff2e85d0703dbb0a08b1 110485492 debug optional ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 0814a7b2575df8c1d5a75aeec5fe4460 12476564 electronics optional ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb c5dc3c243f44b8d13b8dd3564fd2170d 10069940 debug optional ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 6b3c5f3756416e4d0168230cb9642639 3135510 electronics optional ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb 1f883f2e64fb751fc50ff3556223382d 45658 debug optional ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 65b3ecc873cb08990df7a2006661ed39 30832 electronics optional ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb 2b22db75490c186c27c2a05b0f3b0b3a 12215 electronics optional ghdl_4.0.0+dfsg-0ubuntu5_arm64.buildinfo 07a41191e51f0abc8e4068c9d50eff67 1536 electronics optional ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb 85a8426b0c715a33c0ca47859321e1b1 3801312 debug optional libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb dbc4fc56109703b78e245ceb294a52d1 1470776 electronics optional libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb 7d95b533d665d6017e28cb900a7b0cb1 1804102 electronics optional libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb Original-Maintainer: Debian Electronics Team /<>/ghdl_4.0.0+dfsg-0ubuntu5_arm64.changes.new could not be renamed to /<>/ghdl_4.0.0+dfsg-0ubuntu5_arm64.changes: Illegal seek Distribution field may be wrong!!! +------------------------------------------------------------------------------+ | Buildinfo | +------------------------------------------------------------------------------+ Format: 1.0 Source: ghdl Binary: ghdl ghdl-common ghdl-gcc ghdl-gcc-dbgsym ghdl-llvm ghdl-llvm-dbgsym ghdl-tools ghdl-tools-dbgsym libghdl-4-0-0 libghdl-4-0-0-dbgsym libghdl-dev Architecture: arm64 Version: 4.0.0+dfsg-0ubuntu5 Checksums-Md5: d4ab972bb9a8954db234cd997a0816c5 166960 ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb b522e8231c03ff2e85d0703dbb0a08b1 110485492 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 0814a7b2575df8c1d5a75aeec5fe4460 12476564 ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb c5dc3c243f44b8d13b8dd3564fd2170d 10069940 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 6b3c5f3756416e4d0168230cb9642639 3135510 ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb 1f883f2e64fb751fc50ff3556223382d 45658 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 65b3ecc873cb08990df7a2006661ed39 30832 ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb 07a41191e51f0abc8e4068c9d50eff67 1536 ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb 85a8426b0c715a33c0ca47859321e1b1 3801312 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb dbc4fc56109703b78e245ceb294a52d1 1470776 libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb 7d95b533d665d6017e28cb900a7b0cb1 1804102 libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb Checksums-Sha1: 6d0366306b642ff7de369c51acebc75db165dccc 166960 ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb bbeb6791e3723959d02b9dfd0ed225eeec60dcc5 110485492 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 7cf85c034788873cb98a51753e7211441ec7b889 12476564 ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb 7e34c5f9528883dfedef878ae0e93e2c84aaf484 10069940 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 27922efba3cc02dce7c89ba2d19e44f13b4a2b5f 3135510 ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb ffe222ee9477b5dd0be65e7b2e7c57651a92a78a 45658 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb f6c5bd29b39b00fb452a2e57e235730e6f77ab53 30832 ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb 08ef91375452864c25e09f0d149d11ea5fd72e42 1536 ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb 9eb73af41dc34ad56716e3c63eb8199407395db1 3801312 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 8ecd150861e4e159a2c1fe4fef6927dd431d4b27 1470776 libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb 018463f34a2473b78ee0401e0a7cbec2e708e625 1804102 libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb Checksums-Sha256: 665258b675cfb91268535a70e4c32182ce50dc803698d66545d1350218274970 166960 ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb 08ea3406c9d28280ba22ed5187e0bf2df9d42f69c3d85ec526c2bef84a570093 110485492 ghdl-gcc-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 4574a0dec85e46da4f9cadcd49dd19eb540210c2d72b3d47edb7b58f11a74878 12476564 ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb 2c15606c4aea0f77d998a41c070545aa961e29f45681bc559d79a30240cce602 10069940 ghdl-llvm-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 0d13f459bc0471d7d7233fc1f92e56f79296b6237941642e71ca210393a855e6 3135510 ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb 3ba191882b4ec90102107af5fef49f39a60fbd5d12c32c43fd4ff1fb62763629 45658 ghdl-tools-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb 35a5d51a38bf0da25ba74f083867c09feb52cf29d6df4ca46a2c0ee35d856fe7 30832 ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb b0ebde737438bbe4ecf5c54013af305a35ab70e5336b7014b4c4fafbc43f6f5d 1536 ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb acd45abf237d8a15e4c4aac559325b9a1b204afcc196dff274e455300bb40c44 3801312 libghdl-4-0-0-dbgsym_4.0.0+dfsg-0ubuntu5_arm64.ddeb c5fc908773121044bd248167e27c04efc579ce2bc9f3cdef0f33551f496e298b 1470776 libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb 5465ee561aff5bbd6461b30c4c6d73502317b9f8cc81dcd13a55dd0147f0c292 1804102 libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb Build-Origin: Ubuntu Build-Architecture: arm64 Build-Date: Tue, 09 Apr 2024 08:48:41 +0000 Build-Path: /<> Build-Tainted-By: merged-usr-via-aliased-dirs usr-local-has-programs Installed-Build-Depends: autoconf (= 2.71-3), autoconf2.69 (= 2.69-3.1), automake (= 1:1.16.5-1.3ubuntu1), autopoint (= 0.21-14ubuntu2), autotools-dev (= 20220109.1), base-files (= 13ubuntu9), base-passwd (= 3.6.3build1), bash (= 5.2.21-2ubuntu4), binutils (= 2.42-4ubuntu2), binutils-aarch64-linux-gnu (= 2.42-4ubuntu2), binutils-common (= 2.42-4ubuntu2), bsdextrautils (= 2.39.3-9ubuntu5), bsdutils (= 1:2.39.3-9ubuntu5), build-essential (= 12.10ubuntu2), bzip2 (= 1.0.8-5.1), clang (= 1:18.0-59~exp2), clang-18 (= 1:18.1.2-1ubuntu3), coreutils (= 9.4-3ubuntu6), cpp (= 4:13.2.0-7ubuntu2), cpp-13 (= 13.2.0-23ubuntu3), cpp-13-aarch64-linux-gnu (= 13.2.0-23ubuntu3), cpp-aarch64-linux-gnu (= 4:13.2.0-7ubuntu2), dash (= 0.5.12-6ubuntu5), debconf (= 1.5.86), debhelper (= 13.14.1ubuntu5), debianutils (= 5.17build1), debugedit (= 1:5.0-5build2), dh-ada-library (= 9.3), dh-autoreconf (= 20), dh-strip-nondeterminism (= 1.13.1-1), diffstat (= 1.66-1build1), diffutils (= 1:3.10-1build1), dpkg (= 1.22.6ubuntu6), dpkg-dev (= 1.22.6ubuntu6), dwz (= 0.15-1build6), ed (= 1.20.1-1), file (= 1:5.45-3build1), findutils (= 4.9.0-5build1), g++ (= 4:13.2.0-7ubuntu2), g++-13 (= 13.2.0-23ubuntu3), g++-13-aarch64-linux-gnu (= 13.2.0-23ubuntu3), g++-aarch64-linux-gnu (= 4:13.2.0-7ubuntu2), gawk (= 1:5.2.1-2build3), gcc (= 4:13.2.0-7ubuntu2), gcc-13 (= 13.2.0-23ubuntu3), gcc-13-aarch64-linux-gnu (= 13.2.0-23ubuntu3), gcc-13-base (= 13.2.0-23ubuntu3), gcc-13-source (= 13.2.0-23ubuntu3), gcc-14-base (= 14-20240330-1ubuntu2), gcc-aarch64-linux-gnu (= 4:13.2.0-7ubuntu2), gettext (= 0.21-14ubuntu2), gettext-base (= 0.21-14ubuntu2), gnat (= 13.2ubuntu2), gnat-13 (= 13.2.0-23ubuntu3), gnat-13-aarch64-linux-gnu (= 13.2.0-23ubuntu3), grep (= 3.11-4build1), groff-base (= 1.23.0-3build2), gzip (= 1.12-1ubuntu3), hostname (= 3.23+nmu2ubuntu2), icu-devtools (= 74.2-1ubuntu3), init-system-helpers (= 1.66ubuntu2), intltool-debian (= 0.35.0+20060710.6), libacl1 (= 2.3.2-1build1), libarchive-zip-perl (= 1.68-1), libasan8 (= 14-20240330-1ubuntu2), libatomic1 (= 14-20240330-1ubuntu2), libattr1 (= 1:2.5.2-1build1), libaudit-common (= 1:3.1.2-2.1build1), libaudit1 (= 1:3.1.2-2.1build1), libbinutils (= 2.42-4ubuntu2), libblkid1 (= 2.39.3-9ubuntu5), libbrotli1 (= 1.1.0-2build2), libbsd0 (= 0.12.1-1build1), libbz2-1.0 (= 1.0.8-5.1), libc-bin (= 2.39-0ubuntu8), libc-dev-bin (= 2.39-0ubuntu8), libc6 (= 2.39-0ubuntu8), libc6-dev (= 2.39-0ubuntu8), libcap-ng0 (= 0.8.4-2build2), libcap2 (= 1:2.66-5ubuntu2), libcc1-0 (= 14-20240330-1ubuntu2), libclang-common-18-dev (= 1:18.1.2-1ubuntu3), libclang-cpp18 (= 1:18.1.2-1ubuntu3), libclang1-18 (= 1:18.1.2-1ubuntu3), libcom-err2 (= 1.47.0-2.4~exp1ubuntu4), libcrypt-dev (= 1:4.4.36-4build1), libcrypt1 (= 1:4.4.36-4build1), libctf-nobfd0 (= 2.42-4ubuntu2), libctf0 (= 2.42-4ubuntu2), libcurl4t64 (= 8.5.0-2ubuntu10), libdb5.3t64 (= 5.3.28+dfsg2-6build1), libdebconfclient0 (= 0.271ubuntu3), libdebhelper-perl (= 13.14.1ubuntu5), libdpkg-perl (= 1.22.6ubuntu6), libdw1t64 (= 0.190-1.1build4), libedit2 (= 3.1-20230828-1build1), libelf1t64 (= 0.190-1.1build4), libexpat1 (= 2.6.1-2build1), libffi-dev (= 3.4.6-1build1), libffi8 (= 3.4.6-1build1), libfile-stripnondeterminism-perl (= 1.13.1-1), libgc1 (= 1:8.2.6-1build1), libgcc-13-dev (= 13.2.0-23ubuntu3), libgcc-s1 (= 14-20240330-1ubuntu2), libgcrypt20 (= 1.10.3-2build1), libgdbm-compat4t64 (= 1.23-5.1build1), libgdbm6t64 (= 1.23-5.1build1), libgmp-dev (= 2:6.3.0+dfsg-2ubuntu5), libgmp10 (= 2:6.3.0+dfsg-2ubuntu5), libgmpxx4ldbl (= 2:6.3.0+dfsg-2ubuntu5), libgnat-13 (= 13.2.0-23ubuntu3), libgnutls30t64 (= 3.8.3-1.1ubuntu3), libgomp1 (= 14-20240330-1ubuntu2), libgpg-error0 (= 1.47-3build2), libgprofng0 (= 2.42-4ubuntu2), libgssapi-krb5-2 (= 1.20.1-6ubuntu2), libhogweed6t64 (= 3.9.1-2.2build1), libhwasan0 (= 14-20240330-1ubuntu2), libicu-dev (= 74.2-1ubuntu3), libicu74 (= 74.2-1ubuntu3), libidn2-0 (= 2.3.7-2build1), libisl-dev (= 0.26-3build1), libisl23 (= 0.26-3build1), libitm1 (= 14-20240330-1ubuntu2), libjansson4 (= 2.14-2build2), libk5crypto3 (= 1.20.1-6ubuntu2), libkeyutils1 (= 1.6.3-3build1), libkrb5-3 (= 1.20.1-6ubuntu2), libkrb5support0 (= 1.20.1-6ubuntu2), libldap2 (= 2.6.7+dfsg-1~exp1ubuntu8), libllvm18 (= 1:18.1.2-1ubuntu3), liblsan0 (= 14-20240330-1ubuntu2), liblz4-1 (= 1.9.4-1build1), liblzma5 (= 5.6.1+really5.4.5-1), libmagic-mgc (= 1:5.45-3build1), libmagic1t64 (= 1:5.45-3build1), libmd0 (= 1.1.0-2build1), libmount1 (= 2.39.3-9ubuntu5), libmpc-dev (= 1.3.1-1build1), libmpc3 (= 1.3.1-1build1), libmpfr-dev (= 4.2.1-1build1), libmpfr6 (= 4.2.1-1build1), libncurses-dev (= 6.4+20240113-1ubuntu2), libncurses6 (= 6.4+20240113-1ubuntu2), libncursesw6 (= 6.4+20240113-1ubuntu2), libnettle8t64 (= 3.9.1-2.2build1), libnghttp2-14 (= 1.59.0-1build2), libobjc-13-dev (= 13.2.0-23ubuntu3), libobjc4 (= 14-20240330-1ubuntu2), libp11-kit0 (= 0.25.3-4ubuntu2), libpam-modules (= 1.5.3-5ubuntu4), libpam-modules-bin (= 1.5.3-5ubuntu4), libpam-runtime (= 1.5.3-5ubuntu4), libpam0g (= 1.5.3-5ubuntu4), libpcre2-8-0 (= 10.42-4ubuntu2), libperl5.38t64 (= 5.38.2-3.2build2), libpfm4 (= 4.13.0+git32-g0d4ed0e-1), libpipeline1 (= 1.5.7-2), libpsl5t64 (= 0.21.2-1.1build1), libpython3-stdlib (= 3.12.2-0ubuntu2), libpython3.12-minimal (= 3.12.2-5ubuntu3), libpython3.12-stdlib (= 3.12.2-5ubuntu3), libreadline8t64 (= 8.2-4build1), librtmp1 (= 2.4+20151223.gitfa8646d.1-2build7), libsasl2-2 (= 2.1.28+dfsg1-5ubuntu3), libsasl2-modules-db (= 2.1.28+dfsg1-5ubuntu3), libseccomp2 (= 2.5.5-1ubuntu3), libselinux1 (= 3.5-2ubuntu2), libsframe1 (= 2.42-4ubuntu2), libsigsegv2 (= 2.14-1ubuntu2), libsmartcols1 (= 2.39.3-9ubuntu5), libsqlite3-0 (= 3.45.1-1ubuntu2), libssh-4 (= 0.10.6-2build2), libssl3t64 (= 3.0.13-0ubuntu3), libstdc++-13-dev (= 13.2.0-23ubuntu3), libstdc++6 (= 14-20240330-1ubuntu2), libsub-override-perl (= 0.10-1), libsystemd0 (= 255.4-1ubuntu7), libtasn1-6 (= 4.19.0-3build1), libtext-unidecode-perl (= 1.30-3), libtinfo6 (= 6.4+20240113-1ubuntu2), libtool (= 2.4.7-7build1), libtsan2 (= 14-20240330-1ubuntu2), libubsan1 (= 14-20240330-1ubuntu2), libuchardet0 (= 0.0.8-1build1), libudev1 (= 255.4-1ubuntu7), libunistring5 (= 1.1-2build1), libuuid1 (= 2.39.3-9ubuntu5), libxml-libxml-perl (= 2.0207+dfsg+really+2.0134-1build4), libxml-namespacesupport-perl (= 1.12-2), libxml-sax-base-perl (= 1.09-3), libxml-sax-perl (= 1.02+dfsg-3), libxml2 (= 2.9.14+dfsg-1.3ubuntu3), libxml2-dev (= 2.9.14+dfsg-1.3ubuntu3), libyaml-0-2 (= 0.2.5-1build1), libz3-4 (= 4.8.12-3.1), libz3-dev (= 4.8.12-3.1), libzstd1 (= 1.5.5+dfsg2-2build1), linux-libc-dev (= 6.8.0-22.22), llvm (= 1:18.0-59~exp2), llvm-18 (= 1:18.1.2-1ubuntu3), llvm-18-dev (= 1:18.1.2-1ubuntu3), llvm-18-linker-tools (= 1:18.1.2-1ubuntu3), llvm-18-runtime (= 1:18.1.2-1ubuntu3), llvm-18-tools (= 1:18.1.2-1ubuntu3), llvm-dev (= 1:18.0-59~exp2), llvm-runtime (= 1:18.0-59~exp2), login (= 1:4.13+dfsg1-4ubuntu2), lsb-release (= 12.0-2), lto-disabled-list (= 47), m4 (= 1.4.19-4build1), make (= 4.3-4.1build2), man-db (= 2.12.0-4build2), mawk (= 1.3.4.20240123-1build1), media-types (= 10.1.0), ncurses-base (= 6.4+20240113-1ubuntu2), ncurses-bin (= 6.4+20240113-1ubuntu2), netbase (= 6.4), patch (= 2.7.6-7build3), patchutils (= 0.4.2-1build3), perl (= 5.38.2-3.2build2), perl-base (= 5.38.2-3.2build2), perl-modules-5.38 (= 5.38.2-3.2build2), po-debconf (= 1.0.21+nmu1), python3 (= 3.12.2-0ubuntu2), python3-minimal (= 3.12.2-0ubuntu2), python3-pkg-resources (= 68.1.2-2ubuntu1), python3-pygments (= 2.17.2+dfsg-1), python3-yaml (= 6.0.1-2build2), python3.12 (= 3.12.2-5ubuntu3), python3.12-minimal (= 3.12.2-5ubuntu3), quilt (= 0.67+really0.67-4), readline-common (= 8.2-4build1), rpcsvc-proto (= 1.4.2-0ubuntu7), sed (= 4.9-2build1), sensible-utils (= 0.0.22), sharutils (= 1:4.15.2-9), sysvinit-utils (= 3.08-6ubuntu3), tar (= 1.35+dfsg-3build1), tex-common (= 6.18), texinfo (= 7.1-3build2), texinfo-lib (= 7.1-3build2), time (= 1.9-0.2build1), tzdata (= 2024a-2ubuntu1), ucf (= 3.0043+nmu1), util-linux (= 2.39.3-9ubuntu5), xz-utils (= 5.6.1+really5.4.5-1), zlib1g (= 1:1.3.dfsg-3.1ubuntu2), zlib1g-dev (= 1:1.3.dfsg-3.1ubuntu2) Environment: DEB_BUILD_OPTIONS="parallel=4" DEB_BUILD_PROFILES="noudeb" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1712648077" +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ ghdl-common_4.0.0+dfsg-0ubuntu5_arm64.deb ----------------------------------------- new Debian package, version 2.0. size 166960 bytes: control archive=2359 bytes. 795 bytes, 19 lines control 5380 bytes, 69 lines md5sums Package: ghdl-common Source: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 2430 Breaks: ghdl (<< 0.37+dfsg2) Replaces: ghdl (<< 0.37+dfsg2) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (common files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains common files for the GHDL compiler packages. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/bin/ -rwxr-xr-x root/root 393 2023-09-30 23:16 ./usr/bin/ghdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/include/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/include/ghdl/ -rw-r--r-- root/root 36958 2024-03-06 20:14 ./usr/lib/ghdl/include/ghdl/vhpi_user.h -rw-r--r-- root/root 45550 2024-03-06 20:14 ./usr/lib/ghdl/include/ghdl/vpi_user.h drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/ -rw-r--r-- root/root 52648 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/math_complex-body.vhdl -rw-r--r-- root/root 34106 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/math_complex.vhdl -rw-r--r-- root/root 64760 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/math_real-body.vhdl -rw-r--r-- root/root 21134 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/math_real.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/ -rw-r--r-- root/root 57337 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/numeric_bit-body.vhdl -rw-r--r-- root/root 32919 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/numeric_bit.vhdl -rw-r--r-- root/root 83364 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/numeric_std-body.vhdl -rw-r--r-- root/root 34334 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/numeric_std.vhdl -rw-r--r-- root/root 32350 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164-body.vhdl -rw-r--r-- root/root 9402 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/ -rw-r--r-- root/root 57057 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/numeric_bit-body.vhdl -rw-r--r-- root/root 32879 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/numeric_bit.vhdl -rw-r--r-- root/root 83082 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/numeric_std-body.vhdl -rw-r--r-- root/root 34294 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/numeric_std.vhdl -rw-r--r-- root/root 32260 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164-body.vhdl -rw-r--r-- root/root 9390 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/ -rw-r--r-- root/root 2614 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/fixed_float_types.vhdl -rw-r--r-- root/root 216320 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl -rw-r--r-- root/root 66781 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl -rw-r--r-- root/root 2249 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/fixed_pkg.vhdl -rw-r--r-- root/root 230768 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl -rw-r--r-- root/root 51264 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg.vhdl -rw-r--r-- root/root 2542 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/float_pkg.vhdl -rw-r--r-- root/root 102 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/ieee_bit_context.vhdl -rw-r--r-- root/root 133 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/ieee_std_context.vhdl -rw-r--r-- root/root 52648 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/math_complex-body.vhdl -rw-r--r-- root/root 34106 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/math_complex.vhdl -rw-r--r-- root/root 64760 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/math_real-body.vhdl -rw-r--r-- root/root 21134 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/math_real.vhdl -rw-r--r-- root/root 93982 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_bit-body.vhdl -rw-r--r-- root/root 63541 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_bit.vhdl -rw-r--r-- root/root 17135 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl -rw-r--r-- root/root 25675 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl -rw-r--r-- root/root 139714 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_std-body.vhdl -rw-r--r-- root/root 75853 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_std.vhdl -rw-r--r-- root/root 18190 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl -rw-r--r-- root/root 25976 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl -rw-r--r-- root/root 57019 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl -rw-r--r-- root/root 14971 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/std_logic_1164.vhdl -rw-r--r-- root/root 62 2024-04-09 07:34 ./usr/lib/ghdl/src/ieee2008/std_logic_textio.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/std/ -rw-r--r-- root/root 2129 2024-04-09 07:34 ./usr/lib/ghdl/src/std/env-body.vhdl -rw-r--r-- root/root 1009 2024-04-09 07:34 ./usr/lib/ghdl/src/std/env.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v08/ -rw-r--r-- root/root 2697 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v08/standard.vhdl -rw-r--r-- root/root 41995 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v08/textio-body.vhdl -rw-r--r-- root/root 7000 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v08/textio.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v87/ -rw-r--r-- root/root 1544 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v87/standard.vhdl -rw-r--r-- root/root 42701 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v87/textio-body.vhdl -rw-r--r-- root/root 7088 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v87/textio.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v93/ -rw-r--r-- root/root 2461 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v93/standard.vhdl -rw-r--r-- root/root 42669 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v93/textio-body.vhdl -rw-r--r-- root/root 7088 2024-04-09 07:34 ./usr/lib/ghdl/src/std/v93/textio.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/ -rw-r--r-- root/root 70557 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/std_logic_arith.vhdl -rw-r--r-- root/root 27741 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/std_logic_misc-body.vhdl -rw-r--r-- root/root 6037 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/std_logic_misc.vhdl -rw-r--r-- root/root 12622 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/std_logic_signed.vhdl -rw-r--r-- root/root 17971 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/std_logic_textio.vhdl -rw-r--r-- root/root 12038 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/std_logic_unsigned.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/v08/ -rw-r--r-- root/root 27997 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc-body.vhdl -rw-r--r-- root/root 6077 2024-04-09 07:34 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/src/upf/ -rw-r--r-- root/root 483 2024-04-09 07:34 ./usr/lib/ghdl/src/upf/upf-body.vhdl -rw-r--r-- root/root 388 2024-04-09 07:34 ./usr/lib/ghdl/src/upf/upf.vhdl drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ghdl-common/ -rw-r--r-- root/root 8530 2024-03-06 20:14 ./usr/share/doc/ghdl-common/NEWS.md.gz -rw-r--r-- root/root 3560 2024-03-06 20:14 ./usr/share/doc/ghdl-common/README.md.gz -rw-r--r-- root/root 4829 2024-04-09 07:34 ./usr/share/doc/ghdl-common/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/ghdl-common/copyright drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/man1/ -rw-r--r-- root/root 1929 2024-04-09 07:34 ./usr/share/man/man1/ghdl.1.gz ghdl-gcc_4.0.0+dfsg-0ubuntu5_arm64.deb -------------------------------------- new Debian package, version 2.0. size 12476564 bytes: control archive=3056 bytes. 976 bytes, 19 lines control 7256 bytes, 91 lines md5sums 204 bytes, 5 lines * postinst #!/bin/sh 204 bytes, 5 lines * postrm #!/bin/sh 204 bytes, 5 lines * preinst #!/bin/sh 204 bytes, 5 lines * prerm #!/bin/sh Package: ghdl-gcc Source: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 43951 Depends: ghdl-common (= 4.0.0+dfsg-0ubuntu5), libc6 (>= 2.38), libgmp10 (>= 2:6.3.0+dfsg), libgnat-13 (>= 13.2.0), libisl23 (>= 0.15), libmpc3 (>= 1.1.0), libmpfr6 (>= 3.1.3), zlib1g (>= 1:1.1.4), gcc, zlib1g-dev Built-Using: gcc-13 (= 13.2.0-23ubuntu3) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (GCC backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the GCC backend. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/bin/ -rwxr-xr-x root/root 3949712 2024-04-09 07:34 ./usr/bin/ghdl-gcc drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libexec/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libexec/gcc/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/ -rwxr-xr-x root/root 33393456 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libexec/gcc/aarch64-linux-gnu/13/ghdl1 -rw-r--r-- root/root 67280 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libghdlvpi.so -rw-r--r-- root/root 67512 2024-04-09 07:34 ./usr/lib/ghdl/gcc/libghw.so drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ -rw-r--r-- root/root 83 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/grt-exec.lst -rw-r--r-- root/root 53 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/grt-shared.lst -rw-r--r-- root/root 46 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/grt.lst -rw-r--r-- root/root 926 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/grt.ver drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ -rw-r--r-- root/root 5040 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_float_types.o -rw-r--r-- root/root 799240 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg-body.o -rw-r--r-- root/root 3976 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg.o -rw-r--r-- root/root 2272 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_pkg.o -rw-r--r-- root/root 745072 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg-body.o -rw-r--r-- root/root 7752 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg.o -rw-r--r-- root/root 2840 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_pkg.o -rw-r--r-- root/root 5340 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf -rw-r--r-- root/root 1144 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_bit_context.o -rw-r--r-- root/root 1144 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_std_context.o -rw-r--r-- root/root 92872 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex-body.o -rw-r--r-- root/root 8544 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex.o -rw-r--r-- root/root 81232 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real-body.o -rw-r--r-- root/root 11952 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real.o -rw-r--r-- root/root 328616 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit-body.o -rw-r--r-- root/root 17392 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit.o -rw-r--r-- root/root 50552 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned-body.o -rw-r--r-- root/root 3576 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned.o -rw-r--r-- root/root 489888 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std-body.o -rw-r--r-- root/root 8152 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std.o -rw-r--r-- root/root 48928 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned-body.o -rw-r--r-- root/root 3792 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned.o -rw-r--r-- root/root 174128 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164-body.o -rw-r--r-- root/root 7584 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164.o -rw-r--r-- root/root 192624 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_arith.o -rw-r--r-- root/root 38120 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc-body.o -rw-r--r-- root/root 5792 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc.o -rw-r--r-- root/root 32720 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_signed.o -rw-r--r-- root/root 2400 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_textio.o -rw-r--r-- root/root 30336 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_unsigned.o -rw-r--r-- root/root 2864 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf-body.o -rw-r--r-- root/root 2280 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ -rw-r--r-- root/root 2522 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf -rw-r--r-- root/root 210680 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit-body.o -rw-r--r-- root/root 12512 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit.o -rw-r--r-- root/root 334624 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std-body.o -rw-r--r-- root/root 6472 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std.o -rw-r--r-- root/root 80048 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164-body.o -rw-r--r-- root/root 8456 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164.o -rw-r--r-- root/root 190480 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_arith.o -rw-r--r-- root/root 52592 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc-body.o -rw-r--r-- root/root 5784 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc.o -rw-r--r-- root/root 32720 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_signed.o -rw-r--r-- root/root 78696 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_textio.o -rw-r--r-- root/root 30328 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_unsigned.o -rw-r--r-- root/root 2864 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf-body.o -rw-r--r-- root/root 2280 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ -rw-r--r-- root/root 3156 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf -rw-r--r-- root/root 92864 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex-body.o -rw-r--r-- root/root 8544 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex.o -rw-r--r-- root/root 81248 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real-body.o -rw-r--r-- root/root 11944 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real.o -rw-r--r-- root/root 221592 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit-body.o -rw-r--r-- root/root 17192 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit.o -rw-r--r-- root/root 345544 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std-body.o -rw-r--r-- root/root 6472 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std.o -rw-r--r-- root/root 86528 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164-body.o -rw-r--r-- root/root 8456 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164.o -rw-r--r-- root/root 192696 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_arith.o -rw-r--r-- root/root 52592 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc-body.o -rw-r--r-- root/root 5784 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc.o -rw-r--r-- root/root 32720 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_signed.o -rw-r--r-- root/root 78800 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_textio.o -rw-r--r-- root/root 30328 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_unsigned.o -rw-r--r-- root/root 2864 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf-body.o -rw-r--r-- root/root 2280 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf.o -rw-r--r-- root/root 104762 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/libbacktrace.a -rw-r--r-- root/root 1369300 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/libgrt.a lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/src -> ../../src drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/ -rw-r--r-- root/root 4080 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/env-body.o -rw-r--r-- root/root 2272 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/env.o -rw-r--r-- root/root 590 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf -rw-r--r-- root/root 55664 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o -rw-r--r-- root/root 112096 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio-body.o -rw-r--r-- root/root 5528 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v87/ -rw-r--r-- root/root 307 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf -rw-r--r-- root/root 27904 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o -rw-r--r-- root/root 80936 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio-body.o -rw-r--r-- root/root 5528 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v93/ -rw-r--r-- root/root 307 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf -rw-r--r-- root/root 45544 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o -rw-r--r-- root/root 83664 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio-body.o -rw-r--r-- root/root 5528 2024-04-09 07:34 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/share/doc/ghdl-gcc -> ghdl-common drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/lintian/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 159 2023-09-30 23:16 ./usr/share/lintian/overrides/ghdl-gcc drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/man1/ lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/share/man/man1/ghdl-gcc.1.gz -> ghdl.1.gz ghdl-llvm_4.0.0+dfsg-0ubuntu5_arm64.deb --------------------------------------- new Debian package, version 2.0. size 3135510 bytes: control archive=3082 bytes. 879 bytes, 18 lines control 7185 bytes, 89 lines md5sums 205 bytes, 5 lines * postinst #!/bin/sh 205 bytes, 5 lines * postrm #!/bin/sh 205 bytes, 5 lines * preinst #!/bin/sh 205 bytes, 5 lines * prerm #!/bin/sh Package: ghdl-llvm Source: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 16689 Depends: ghdl-common (= 4.0.0+dfsg-0ubuntu5), libc6 (>= 2.38), libgcc-s1 (>= 4.2), libgnat-13 (>= 13.2.0), libllvm18, libstdc++6 (>= 11), gcc, zlib1g-dev Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (LLVM backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the LLVM backend. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/bin/ -rwxr-xr-x root/root 4999336 2024-04-09 07:34 ./usr/bin/ghdl-llvm drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/ -rwxr-xr-x root/root 4932736 2024-04-09 07:34 ./usr/lib/ghdl/llvm/ghdl1-llvm -rw-r--r-- root/root 67280 2024-04-09 07:34 ./usr/lib/ghdl/llvm/libghdlvpi.so drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ -rw-r--r-- root/root 83 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/grt-exec.lst -rw-r--r-- root/root 53 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/grt-shared.lst -rw-r--r-- root/root 29 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/grt.lst -rw-r--r-- root/root 926 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/grt.ver drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ -rw-r--r-- root/root 6176 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_float_types.o -rw-r--r-- root/root 739464 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg-body.o -rw-r--r-- root/root 3360 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg.o -rw-r--r-- root/root 3288 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_pkg.o -rw-r--r-- root/root 666136 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg-body.o -rw-r--r-- root/root 7160 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg.o -rw-r--r-- root/root 3520 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_pkg.o -rw-r--r-- root/root 5340 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf -rw-r--r-- root/root 664 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_bit_context.o -rw-r--r-- root/root 664 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_std_context.o -rw-r--r-- root/root 90888 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex-body.o -rw-r--r-- root/root 9824 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex.o -rw-r--r-- root/root 77280 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real-body.o -rw-r--r-- root/root 12320 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real.o -rw-r--r-- root/root 307216 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit-body.o -rw-r--r-- root/root 19848 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit.o -rw-r--r-- root/root 52080 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned-body.o -rw-r--r-- root/root 4728 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned.o -rw-r--r-- root/root 446272 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std-body.o -rw-r--r-- root/root 10072 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std.o -rw-r--r-- root/root 50640 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned-body.o -rw-r--r-- root/root 4960 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned.o -rw-r--r-- root/root 159024 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164-body.o -rw-r--r-- root/root 9064 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164.o -rw-r--r-- root/root 188840 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_arith.o -rw-r--r-- root/root 42032 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc-body.o -rw-r--r-- root/root 7192 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc.o -rw-r--r-- root/root 32848 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_signed.o -rw-r--r-- root/root 3704 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_textio.o -rw-r--r-- root/root 30784 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_unsigned.o -rw-r--r-- root/root 4224 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf-body.o -rw-r--r-- root/root 3544 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ -rw-r--r-- root/root 2522 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf -rw-r--r-- root/root 196472 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit-body.o -rw-r--r-- root/root 14952 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit.o -rw-r--r-- root/root 297976 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std-body.o -rw-r--r-- root/root 8232 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std.o -rw-r--r-- root/root 77728 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164-body.o -rw-r--r-- root/root 10360 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164.o -rw-r--r-- root/root 186480 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_arith.o -rw-r--r-- root/root 56168 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc-body.o -rw-r--r-- root/root 7160 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc.o -rw-r--r-- root/root 32848 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_signed.o -rw-r--r-- root/root 70408 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_textio.o -rw-r--r-- root/root 30784 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_unsigned.o -rw-r--r-- root/root 4224 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf-body.o -rw-r--r-- root/root 3544 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ -rw-r--r-- root/root 3156 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf -rw-r--r-- root/root 90864 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex-body.o -rw-r--r-- root/root 9824 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex.o -rw-r--r-- root/root 77256 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real-body.o -rw-r--r-- root/root 12296 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real.o -rw-r--r-- root/root 206376 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit-body.o -rw-r--r-- root/root 19648 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit.o -rw-r--r-- root/root 307888 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std-body.o -rw-r--r-- root/root 8232 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std.o -rw-r--r-- root/root 83400 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164-body.o -rw-r--r-- root/root 10360 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164.o -rw-r--r-- root/root 188912 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_arith.o -rw-r--r-- root/root 56168 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc-body.o -rw-r--r-- root/root 7160 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc.o -rw-r--r-- root/root 32848 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_signed.o -rw-r--r-- root/root 70544 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_textio.o -rw-r--r-- root/root 30784 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_unsigned.o -rw-r--r-- root/root 4224 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf-body.o -rw-r--r-- root/root 3544 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf.o -rw-r--r-- root/root 1368046 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/libgrt.a lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/src -> ../../src drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/ -rw-r--r-- root/root 5760 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/env-body.o -rw-r--r-- root/root 3536 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/env.o -rw-r--r-- root/root 590 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf -rw-r--r-- root/root 58280 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/std_standard.o -rw-r--r-- root/root 99560 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio-body.o -rw-r--r-- root/root 6528 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v87/ -rw-r--r-- root/root 307 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf -rw-r--r-- root/root 29216 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v87/std_standard.o -rw-r--r-- root/root 73784 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio-body.o -rw-r--r-- root/root 6528 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v93/ -rw-r--r-- root/root 307 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf -rw-r--r-- root/root 46496 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v93/std_standard.o -rw-r--r-- root/root 75464 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio-body.o -rw-r--r-- root/root 6528 2024-04-09 07:34 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio.o drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/share/doc/ghdl-llvm -> ghdl-common drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/lintian/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 67 2023-09-30 23:16 ./usr/share/lintian/overrides/ghdl-llvm drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/man1/ lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/share/man/man1/ghdl-llvm.1.gz -> ghdl.1.gz ghdl-tools_4.0.0+dfsg-0ubuntu5_arm64.deb ---------------------------------------- new Debian package, version 2.0. size 30832 bytes: control archive=925 bytes. 1045 bytes, 23 lines control 264 bytes, 4 lines md5sums Package: ghdl-tools Source: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 115 Depends: libc6 (>= 2.34) Breaks: gtkwave (<< 3.3.110~) Replaces: gtkwave (<< 3.3.110~) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (tools) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains ghwdump, a simple command line tool to extract information from GHW files produced by GHDL and display it as text. It is mainly intended for use in debugging and is not needed for regular use of GHDL, where a wavefile visualization tool like gtkwave would normally be used. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/bin/ -rwxr-xr-x root/root 67672 2024-04-09 07:34 ./usr/bin/ghwdump drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ghdl-tools/ -rw-r--r-- root/root 4830 2024-04-09 07:34 ./usr/share/doc/ghdl-tools/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/ghdl-tools/copyright drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/man/man1/ -rw-r--r-- root/root 415 2024-04-09 07:34 ./usr/share/man/man1/ghwdump.1.gz ghdl_4.0.0+dfsg-0ubuntu5_arm64.deb ---------------------------------- new Debian package, version 2.0. size 1536 bytes: control archive=1200 bytes. 1681 bytes, 33 lines control 0 bytes, 0 lines md5sums 200 bytes, 5 lines * postinst #!/bin/sh 200 bytes, 5 lines * postrm #!/bin/sh 200 bytes, 5 lines * preinst #!/bin/sh 200 bytes, 5 lines * prerm #!/bin/sh Package: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 10 Depends: ghdl-common (= 4.0.0+dfsg-0ubuntu5), ghdl-gcc | ghdl-llvm Suggests: gtkwave Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations. . Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl. . This package is a dependency package that will make sure at least one backend is installed. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/share/doc/ghdl -> ghdl-common libghdl-4-0-0_4.0.0+dfsg-0ubuntu5_arm64.deb ------------------------------------------- new Debian package, version 2.0. size 1470776 bytes: control archive=909 bytes. 866 bytes, 20 lines control 231 bytes, 3 lines md5sums 44 bytes, 1 lines shlibs 75 bytes, 2 lines triggers Package: libghdl-4-0-0 Source: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 4991 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.0), libgnat-13 (>= 13.2.0) Built-Using: gcc-13 (= 13.2.0-23ubuntu3) Section: electronics Priority: optional Multi-Arch: same Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (shared library) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the shared library to access GHDL internals. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/aarch64-linux-gnu/ -rw-r--r-- root/root 5060912 2024-04-09 07:34 ./usr/lib/aarch64-linux-gnu/libghdl-4_0_0.so drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/libghdl-4-0-0/ -rw-r--r-- root/root 4833 2024-04-09 07:34 ./usr/share/doc/libghdl-4-0-0/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/libghdl-4-0-0/copyright libghdl-dev_4.0.0+dfsg-0ubuntu5_arm64.deb ----------------------------------------- new Debian package, version 2.0. size 1804102 bytes: control archive=820 bytes. 863 bytes, 20 lines control 264 bytes, 4 lines md5sums Package: libghdl-dev Source: ghdl Version: 4.0.0+dfsg-0ubuntu5 Architecture: arm64 Maintainer: Ubuntu Developers Installed-Size: 11397 Depends: libghdl-4-0-0 (= 4.0.0+dfsg-0ubuntu5) Built-Using: gcc-13 (= 13.2.0-23ubuntu3) Section: electronics Priority: optional Multi-Arch: same Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (library development files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the files required to compile programs using libghdl. Original-Maintainer: Debian Electronics Team drwxr-xr-x root/root 0 2024-04-09 07:34 ./ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/include/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/include/ghdl/ -rw-r--r-- root/root 7204 2024-03-06 20:14 ./usr/include/ghdl/synth.h -rw-r--r-- root/root 2391 2024-03-06 20:14 ./usr/include/ghdl/synth_gates.h drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/lib/aarch64-linux-gnu/ -rw-r--r-- root/root 11608082 2024-04-09 07:34 ./usr/lib/aarch64-linux-gnu/libghdl.a lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/lib/aarch64-linux-gnu/libghdl.so -> libghdl-4_0_0.so drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-04-09 07:34 ./usr/share/doc/libghdl-dev/ lrwxrwxrwx root/root 0 2024-04-09 07:34 ./usr/share/doc/libghdl-dev/changelog.Debian.gz -> ../libghdl-4-0-0/changelog.Debian.gz -rw-r--r-- root/root 33380 2023-09-30 23:40 ./usr/share/doc/libghdl-dev/copyright +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: arm64 Build Type: any Build-Space: 7198156 Build-Time: 3987 Distribution: noble-proposed Host Architecture: arm64 Install-Time: 37 Job: ghdl_4.0.0+dfsg-0ubuntu5.dsc Machine Architecture: arm64 Package: ghdl Package-Time: 4028 Source-Version: 4.0.0+dfsg-0ubuntu5 Space: 7198156 Status: successful Version: 4.0.0+dfsg-0ubuntu5 -------------------------------------------------------------------------------- Finished at 2024-04-09T08:48:44Z Build needed 01:07:08, 7198156k disk space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=noble --arch=arm64 PACKAGEBUILD-28041381 Scanning for processes to kill in build PACKAGEBUILD-28041381