https://launchpad.net/ubuntu/+source/nextpnr/0.7-1/+build/28419948 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux bos03-riscv64-029 6.5.0-28-generic #29.1~22.04.1-Ubuntu SMP Wed Apr 10 02:20:48 UTC 2024 riscv64 Buildd toolchain package versions: launchpad-buildd_237~660~ubuntu22.04.1 python3-lpbuildd_237~660~ubuntu22.04.1 sbuild_0.81.2ubuntu6 git-build-recipe_0.3.6 git_1:2.34.1-1ubuntu1.10 dpkg-dev_1.21.1ubuntu2.3 python3-debian_0.1.43ubuntu1.1. Syncing the system clock with the buildd NTP service... 6 May 00:09:37 ntpdate[2218]: adjust time server 10.211.37.1 offset -0.003239 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=oracular --arch=riscv64 PACKAGEBUILD-28419948 --image-type chroot /home/buildd/filecache-default/20a3246b7a16d5658607d7f37229fcda30a33651 Creating target for build PACKAGEBUILD-28419948 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=oracular --arch=riscv64 PACKAGEBUILD-28419948 Starting target for build PACKAGEBUILD-28419948 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=oracular --arch=riscv64 PACKAGEBUILD-28419948 'deb http://ftpmaster.internal/ubuntu oracular main universe' 'deb http://ftpmaster.internal/ubuntu oracular-security main universe' 'deb http://ftpmaster.internal/ubuntu oracular-updates main universe' 'deb http://ftpmaster.internal/ubuntu oracular-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-28419948 RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=oracular --arch=riscv64 PACKAGEBUILD-28419948 Updating target for build PACKAGEBUILD-28419948 Get:1 http://ftpmaster.internal/ubuntu oracular InRelease [64.6 kB] Get:2 http://ftpmaster.internal/ubuntu oracular-security InRelease [64.6 kB] Get:3 http://ftpmaster.internal/ubuntu oracular-updates InRelease [64.6 kB] Get:4 http://ftpmaster.internal/ubuntu oracular-proposed InRelease [64.7 kB] Get:5 http://ftpmaster.internal/ubuntu oracular/main riscv64 Packages [1317 kB] Get:6 http://ftpmaster.internal/ubuntu oracular/main Translation-en [512 kB] Get:7 http://ftpmaster.internal/ubuntu oracular/universe riscv64 Packages [14.9 MB] Get:8 http://ftpmaster.internal/ubuntu oracular/universe Translation-en [5998 kB] Get:9 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 Packages [176 kB] Get:10 http://ftpmaster.internal/ubuntu oracular-proposed/main Translation-en [66.9 kB] Get:11 http://ftpmaster.internal/ubuntu oracular-proposed/universe riscv64 Packages [819 kB] Get:12 http://ftpmaster.internal/ubuntu oracular-proposed/universe Translation-en [530 kB] Fetched 24.5 MB in 26s (959 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: libnsl-dev libperl5.36 libtirpc-dev libunistring2 perl-modules-5.36 Use 'sudo apt autoremove' to remove them. The following packages will be REMOVED: libapt-pkg6.0* libdb5.3* libext2fs2* libgdbm-compat4* libgdbm6* libgnutls30* libhogweed6* libnettle8* libnpth0* libpng16-16* libreadline8* libssl3* libtirpc3* usrmerge* The following NEW packages will be installed: cpp-13-riscv64-linux-gnu cpp-riscv64-linux-gnu g++-13-riscv64-linux-gnu g++-riscv64-linux-gnu gcc-13-riscv64-linux-gnu gcc-14-base gcc-riscv64-linux-gnu libapt-pkg6.0t64 libdb5.3t64 libext2fs2t64 libgdbm-compat4t64 libgdbm6t64 libgnutls30t64 libhogweed6t64 libnettle8t64 libnpth0t64 libperl5.38t64 libpng16-16t64 libreadline8t64 libssl3t64 libtirpc3t64 libubsan1 libunistring5 perl-modules-5.38 The following packages will be upgraded: advancecomp apt apt-utils base-files base-passwd bash bash-completion binutils binutils-common binutils-riscv64-linux-gnu bsdextrautils bsdutils bzip2 ca-certificates coreutils cpp cpp-13 dash debconf debconf-i18n debianutils diffutils dpkg dpkg-dev e2fsprogs fakeroot findutils g++ g++-13 gcc gcc-13 gcc-13-base gpg gpg-agent gpgconf gpgv grep gzip hostname init init-system-helpers krb5-locales libacl1 libapparmor1 libargon2-1 libasan8 libassuan0 libatomic1 libattr1 libaudit-common libaudit1 libbinutils libblkid1 libbz2-1.0 libc-bin libc-dev-bin libc6 libc6-dev libcap-ng0 libcap2 libcc1-0 libcom-err2 libcrypt-dev libcrypt1 libcryptsetup12 libctf-nobfd0 libctf0 libdebconfclient0 libdevmapper1.02.1 libdpkg-perl libfakeroot libfdisk1 libffi8 libgcc-13-dev libgcc-s1 libgcrypt20 libgmp10 libgomp1 libgpg-error-l10n libgpg-error0 libgpm2 libgssapi-krb5-2 libidn2-0 libip4tc2 libisl23 libjansson4 libjson-c5 libk5crypto3 libkeyutils1 libkmod2 libkrb5-3 libkrb5support0 liblocale-gettext-perl liblockfile-bin liblockfile1 liblz4-1 liblzma5 libmd0 libmount1 libmpc3 libmpfr6 libncursesw6 libnsl-dev libnsl2 libnss-nis libnss-nisplus libp11-kit0 libpam-modules libpam-modules-bin libpam-runtime libpam0g libpcre2-8-0 libproc2-0 libseccomp2 libselinux1 libsemanage-common libsemanage2 libsepol2 libsframe1 libsmartcols1 libsqlite3-0 libss2 libstdc++-13-dev libstdc++6 libsystemd-shared libsystemd0 libtasn1-6 libtext-charwidth-perl libtext-iconv-perl libtinfo6 libtirpc-common libtirpc-dev libudev1 libuuid1 libxxhash0 libzstd1 linux-libc-dev lockfile-progs login logsave lto-disabled-list make mawk mount ncurses-base ncurses-bin openssl optipng passwd patch perl perl-base pinentry-curses procps psmisc readline-common rpcsvc-proto sed sensible-utils systemd systemd-dev systemd-sysv sysvinit-utils tar tzdata ubuntu-keyring util-linux uuid-runtime xz-utils zlib1g 170 upgraded, 24 newly installed, 14 to remove and 0 not upgraded. Need to get 116 MB of archives. After this operation, 76.5 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libtirpc-common all 1.3.4+ds-1.3 [8106 B] Get:2 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libtirpc-dev riscv64 1.3.4+ds-1.3 [350 kB] Get:3 http://ftpmaster.internal/ubuntu oracular/main riscv64 libnsl-dev riscv64 1.3.0-3build3 [137 kB] Get:4 http://ftpmaster.internal/ubuntu oracular/main riscv64 libnsl2 riscv64 1.3.0-3build3 [43.3 kB] Get:5 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libtirpc3t64 riscv64 1.3.4+ds-1.3 [92.3 kB] Get:6 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgssapi-krb5-2 riscv64 1.20.1-6ubuntu2 [153 kB] Get:7 http://ftpmaster.internal/ubuntu oracular/main riscv64 libkrb5-3 riscv64 1.20.1-6ubuntu2 [385 kB] Get:8 http://ftpmaster.internal/ubuntu oracular/main riscv64 libk5crypto3 riscv64 1.20.1-6ubuntu2 [108 kB] Get:9 http://ftpmaster.internal/ubuntu oracular/main riscv64 libkrb5support0 riscv64 1.20.1-6ubuntu2 [36.7 kB] Get:10 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libacl1 riscv64 2.3.2-2 [18.7 kB] Get:11 http://ftpmaster.internal/ubuntu oracular/main riscv64 libapparmor1 riscv64 4.0.0-beta3-0ubuntu3 [51.2 kB] Get:12 http://ftpmaster.internal/ubuntu oracular/main riscv64 libaudit-common all 1:3.1.2-2.1build1 [5736 B] Get:13 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libcap-ng0 riscv64 0.8.5-1 [15.4 kB] Get:14 http://ftpmaster.internal/ubuntu oracular/main riscv64 libaudit1 riscv64 1:3.1.2-2.1build1 [47.9 kB] Get:15 http://ftpmaster.internal/ubuntu oracular/main riscv64 libblkid1 riscv64 2.39.3-9ubuntu6 [204 kB] Get:16 http://ftpmaster.internal/ubuntu oracular/main riscv64 libcap2 riscv64 1:2.66-5ubuntu2 [31.8 kB] Get:17 http://ftpmaster.internal/ubuntu oracular/main riscv64 libcrypt-dev riscv64 1:4.4.36-4build1 [250 kB] Get:18 http://ftpmaster.internal/ubuntu oracular/main riscv64 libcrypt1 riscv64 1:4.4.36-4build1 [102 kB] Get:19 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgpg-error-l10n all 1.47-3build2 [8064 B] Get:20 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgpg-error0 riscv64 1.47-3build2 [75.8 kB] Get:21 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgcrypt20 riscv64 1.10.3-2build1 [564 kB] Get:22 http://ftpmaster.internal/ubuntu oracular/main riscv64 liblzma5 riscv64 5.6.1+really5.4.5-1 [130 kB] Get:23 http://ftpmaster.internal/ubuntu oracular/main riscv64 libzstd1 riscv64 1.5.5+dfsg2-2build1 [353 kB] Get:24 http://ftpmaster.internal/ubuntu oracular/main riscv64 libkmod2 riscv64 31+20240202-2ubuntu7 [55.1 kB] Get:25 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 liblz4-1 riscv64 1.9.4-2 [85.7 kB] Get:26 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpcre2-8-0 riscv64 10.42-4ubuntu2 [152 kB] Get:27 http://ftpmaster.internal/ubuntu oracular/main riscv64 libselinux1 riscv64 3.5-2ubuntu2 [86.1 kB] Get:28 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmount1 riscv64 2.39.3-9ubuntu6 [212 kB] Get:29 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 perl-modules-5.38 all 5.38.2-4 [3110 kB] Get:30 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdb5.3t64 riscv64 5.3.28+dfsg2-7 [779 kB] Get:31 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgdbm6t64 riscv64 1.23-5.1build1 [35.9 kB] Get:32 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgdbm-compat4t64 riscv64 1.23-5.1build1 [6884 B] Get:33 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libperl5.38t64 riscv64 5.38.2-4 [4469 kB] Get:34 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 perl riscv64 5.38.2-4 [231 kB] Get:35 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 perl-base riscv64 5.38.2-4 [1834 kB] Get:36 http://ftpmaster.internal/ubuntu oracular/main riscv64 liblocale-gettext-perl riscv64 1.07-6ubuntu5 [15.7 kB] Get:37 http://ftpmaster.internal/ubuntu oracular/main riscv64 libtext-iconv-perl riscv64 1.7-8build3 [13.5 kB] Get:38 http://ftpmaster.internal/ubuntu oracular/main riscv64 libtext-charwidth-perl riscv64 0.04-11build3 [9272 B] Get:39 http://ftpmaster.internal/ubuntu oracular/main riscv64 openssl riscv64 3.0.13-0ubuntu3 [992 kB] Get:40 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsystemd-shared riscv64 255.4-1ubuntu8 [2181 kB] Get:41 http://ftpmaster.internal/ubuntu oracular/main riscv64 libcryptsetup12 riscv64 2:2.7.0-1ubuntu4 [274 kB] Get:42 http://ftpmaster.internal/ubuntu oracular/main riscv64 libssl3t64 riscv64 3.0.13-0ubuntu3 [1735 kB] Get:43 http://ftpmaster.internal/ubuntu oracular/main riscv64 systemd-dev all 255.4-1ubuntu8 [104 kB] Get:44 http://ftpmaster.internal/ubuntu oracular/main riscv64 systemd-sysv riscv64 255.4-1ubuntu8 [11.9 kB] Get:45 http://ftpmaster.internal/ubuntu oracular/main riscv64 systemd riscv64 255.4-1ubuntu8 [3553 kB] Get:46 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsystemd0 riscv64 255.4-1ubuntu8 [458 kB] Get:47 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libapt-pkg6.0t64 riscv64 2.9.2 [1056 kB] Get:48 http://ftpmaster.internal/ubuntu oracular/main riscv64 libnettle8t64 riscv64 3.9.1-2.2build1 [217 kB] Get:49 http://ftpmaster.internal/ubuntu oracular/main riscv64 libhogweed6t64 riscv64 3.9.1-2.2build1 [200 kB] Get:50 http://ftpmaster.internal/ubuntu oracular/main riscv64 libp11-kit0 riscv64 0.25.3-4ubuntu2 [318 kB] Get:51 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libunistring5 riscv64 1.2-1 [551 kB] Get:52 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libgnutls30t64 riscv64 3.8.3-1.1ubuntu3.1 [1012 kB] Get:53 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 apt riscv64 2.9.2 [1377 kB] Get:54 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 apt-utils riscv64 2.9.2 [232 kB] Get:55 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libpam-modules-bin riscv64 1.5.3-7ubuntu1 [55.0 kB] Get:56 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libpam-modules riscv64 1.5.3-7ubuntu1 [289 kB] Get:57 http://ftpmaster.internal/ubuntu oracular/main riscv64 bzip2 riscv64 1.0.8-5.1 [35.0 kB] Get:58 http://ftpmaster.internal/ubuntu oracular/main riscv64 libbz2-1.0 riscv64 1.0.8-5.1 [40.2 kB] Get:59 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 gcc-14-base riscv64 14-20240429-1ubuntu1 [48.0 kB] Get:60 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libgcc-s1 riscv64 14-20240429-1ubuntu1 [61.1 kB] Get:61 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libstdc++6 riscv64 14-20240429-1ubuntu1 [806 kB] Get:62 http://ftpmaster.internal/ubuntu oracular/main riscv64 libudev1 riscv64 255.4-1ubuntu8 [181 kB] Get:63 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxxhash0 riscv64 0.8.2-2build1 [45.5 kB] Get:64 http://ftpmaster.internal/ubuntu oracular/main riscv64 zlib1g riscv64 1:1.3.dfsg-3.1ubuntu2 [60.8 kB] Get:65 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgmp10 riscv64 2:6.3.0+dfsg-2ubuntu6 [258 kB] Get:66 http://ftpmaster.internal/ubuntu oracular/main riscv64 libffi8 riscv64 3.4.6-1build1 [23.1 kB] Get:67 http://ftpmaster.internal/ubuntu oracular/main riscv64 libidn2-0 riscv64 2.3.7-2build1 [102 kB] Get:68 http://ftpmaster.internal/ubuntu oracular/main riscv64 libtasn1-6 riscv64 4.19.0-3build1 [45.3 kB] Get:69 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdebconfclient0 riscv64 0.271ubuntu3 [11.2 kB] Get:70 http://ftpmaster.internal/ubuntu oracular/main riscv64 base-passwd riscv64 3.6.3build1 [52.7 kB] Get:71 http://ftpmaster.internal/ubuntu oracular/main riscv64 libassuan0 riscv64 2.5.6-1build1 [39.4 kB] Get:72 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libsqlite3-0 riscv64 3.45.3-1 [740 kB] Get:73 http://ftpmaster.internal/ubuntu oracular/main riscv64 gpg riscv64 2.4.4-2ubuntu17 [607 kB] Get:74 http://ftpmaster.internal/ubuntu oracular/main riscv64 libreadline8t64 riscv64 8.2-4build1 [156 kB] Get:75 http://ftpmaster.internal/ubuntu oracular/main riscv64 readline-common all 8.2-4build1 [56.5 kB] Get:76 http://ftpmaster.internal/ubuntu oracular/main riscv64 libncursesw6 riscv64 6.4+20240113-1ubuntu2 [155 kB] Get:77 http://ftpmaster.internal/ubuntu oracular/main riscv64 libtinfo6 riscv64 6.4+20240113-1ubuntu2 [109 kB] Get:78 http://ftpmaster.internal/ubuntu oracular/main riscv64 gpg-agent riscv64 2.4.4-2ubuntu17 [282 kB] Get:79 http://ftpmaster.internal/ubuntu oracular/main riscv64 gpgconf riscv64 2.4.4-2ubuntu17 [133 kB] Get:80 http://ftpmaster.internal/ubuntu oracular/main riscv64 pinentry-curses riscv64 1.2.1-3ubuntu5 [40.6 kB] Get:81 http://ftpmaster.internal/ubuntu oracular/main riscv64 init-system-helpers all 1.66ubuntu1 [39.4 kB] Get:82 http://ftpmaster.internal/ubuntu oracular/main riscv64 libnpth0t64 riscv64 1.6-3.1build1 [8144 B] Get:83 http://ftpmaster.internal/ubuntu oracular/main riscv64 gpgv riscv64 2.4.4-2ubuntu17 [276 kB] Get:84 http://ftpmaster.internal/ubuntu oracular/main riscv64 ubuntu-keyring all 2023.11.28.1 [11.1 kB] Get:85 http://ftpmaster.internal/ubuntu oracular/main riscv64 debconf-i18n all 1.5.86ubuntu1 [205 kB] Get:86 http://ftpmaster.internal/ubuntu oracular/main riscv64 debconf all 1.5.86ubuntu1 [124 kB] Get:87 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libpam0g riscv64 1.5.3-7ubuntu1 [69.6 kB] Get:88 http://ftpmaster.internal/ubuntu oracular/main riscv64 libseccomp2 riscv64 2.5.5-1ubuntu3 [52.9 kB] Get:89 http://ftpmaster.internal/ubuntu oracular/main riscv64 libargon2-1 riscv64 0~20190702+dfsg-4build1 [25.0 kB] Get:90 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdevmapper1.02.1 riscv64 2:1.02.185-3ubuntu3 [145 kB] Get:91 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjson-c5 riscv64 0.17-1build1 [37.4 kB] Get:92 http://ftpmaster.internal/ubuntu oracular/main riscv64 libuuid1 riscv64 2.39.3-9ubuntu6 [37.7 kB] Get:93 http://ftpmaster.internal/ubuntu oracular/main riscv64 libfdisk1 riscv64 2.39.3-9ubuntu6 [238 kB] Get:94 http://ftpmaster.internal/ubuntu oracular/main riscv64 mount riscv64 2.39.3-9ubuntu6 [152 kB] Get:95 http://ftpmaster.internal/ubuntu oracular/main riscv64 libcom-err2 riscv64 1.47.0-2.4~exp1ubuntu4 [22.5 kB] Get:96 http://ftpmaster.internal/ubuntu oracular/main riscv64 libkeyutils1 riscv64 1.6.3-3build1 [9804 B] Get:97 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libnss-nisplus riscv64 1.3-5build1 [23.8 kB] Get:98 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libnss-nis riscv64 3.1-0ubuntu7 [28.1 kB] Get:99 http://ftpmaster.internal/ubuntu oracular/main riscv64 rpcsvc-proto riscv64 1.4.2-0ubuntu7 [65.8 kB] Get:100 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libc6-dev riscv64 2.39-0ubuntu8.1 [3459 kB] Get:101 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libc-dev-bin riscv64 2.39-0ubuntu8.1 [20.0 kB] Get:102 http://ftpmaster.internal/ubuntu oracular/main riscv64 linux-libc-dev riscv64 6.8.0-31.31 [1568 kB] Get:103 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libc6 riscv64 2.39-0ubuntu8.1 [2777 kB] Get:104 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libc-bin riscv64 2.39-0ubuntu8.1 [609 kB] Get:105 http://ftpmaster.internal/ubuntu oracular/main riscv64 base-files riscv64 13.1ubuntu1 [73.7 kB] Get:106 http://ftpmaster.internal/ubuntu oracular/main riscv64 debianutils riscv64 5.17build1 [89.8 kB] Get:107 http://ftpmaster.internal/ubuntu oracular/main riscv64 bash riscv64 5.2.21-2ubuntu4 [745 kB] Get:108 http://ftpmaster.internal/ubuntu oracular/main riscv64 bsdutils riscv64 1:2.39.3-9ubuntu6 [116 kB] Get:109 http://ftpmaster.internal/ubuntu oracular/main riscv64 coreutils riscv64 9.4-3ubuntu6 [1459 kB] Get:110 http://ftpmaster.internal/ubuntu oracular/main riscv64 tar riscv64 1.35+dfsg-3build1 [269 kB] Get:111 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 dpkg riscv64 1.22.6ubuntu10 [1283 kB] Get:112 http://ftpmaster.internal/ubuntu oracular/main riscv64 dash riscv64 0.5.12-6ubuntu5 [93.2 kB] Get:113 http://ftpmaster.internal/ubuntu oracular/main riscv64 diffutils riscv64 1:3.10-1build1 [184 kB] Get:114 http://ftpmaster.internal/ubuntu oracular/main riscv64 findutils riscv64 4.9.0-5build1 [315 kB] Get:115 http://ftpmaster.internal/ubuntu oracular/main riscv64 grep riscv64 3.11-4build1 [169 kB] Get:116 http://ftpmaster.internal/ubuntu oracular/main riscv64 gzip riscv64 1.12-1ubuntu3 [102 kB] Get:117 http://ftpmaster.internal/ubuntu oracular/main riscv64 hostname riscv64 3.23+nmu2ubuntu2 [11.4 kB] Get:118 http://ftpmaster.internal/ubuntu oracular/main riscv64 login riscv64 1:4.13+dfsg1-4ubuntu3 [204 kB] Get:119 http://ftpmaster.internal/ubuntu oracular/main riscv64 ncurses-bin riscv64 6.4+20240113-1ubuntu2 [187 kB] Get:120 http://ftpmaster.internal/ubuntu oracular/main riscv64 sed riscv64 4.9-2build1 [200 kB] Get:121 http://ftpmaster.internal/ubuntu oracular/main riscv64 util-linux riscv64 2.39.3-9ubuntu6 [1330 kB] Get:122 http://ftpmaster.internal/ubuntu oracular/main riscv64 ncurses-base all 6.4+20240113-1ubuntu2 [25.5 kB] Get:123 http://ftpmaster.internal/ubuntu oracular/main riscv64 sysvinit-utils riscv64 3.08-6ubuntu3 [35.1 kB] Get:124 http://ftpmaster.internal/ubuntu oracular/main riscv64 logsave riscv64 1.47.0-2.4~exp1ubuntu4 [22.3 kB] Get:125 http://ftpmaster.internal/ubuntu oracular/main riscv64 libext2fs2t64 riscv64 1.47.0-2.4~exp1ubuntu4 [243 kB] Get:126 http://ftpmaster.internal/ubuntu oracular/main riscv64 e2fsprogs riscv64 1.47.0-2.4~exp1ubuntu4 [604 kB] Get:127 http://ftpmaster.internal/ubuntu oracular/main riscv64 optipng riscv64 0.7.8+ds-1build2 [115 kB] Get:128 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpng16-16t64 riscv64 1.6.43-5build1 [193 kB] Get:129 http://ftpmaster.internal/ubuntu oracular/main riscv64 init riscv64 1.66ubuntu1 [6190 B] Get:130 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsmartcols1 riscv64 2.39.3-9ubuntu6 [146 kB] Get:131 http://ftpmaster.internal/ubuntu oracular/main riscv64 uuid-runtime riscv64 2.39.3-9ubuntu6 [49.2 kB] Get:132 http://ftpmaster.internal/ubuntu oracular/main riscv64 libattr1 riscv64 1:2.5.2-1build1 [11.4 kB] Get:133 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmd0 riscv64 1.1.0-2build1 [30.5 kB] Get:134 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libpam-runtime all 1.5.3-7ubuntu1 [40.8 kB] Get:135 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsemanage-common all 3.5-1build5 [10.1 kB] Get:136 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsepol2 riscv64 3.5-2build1 [319 kB] Get:137 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsemanage2 riscv64 3.5-1build5 [101 kB] Get:138 http://ftpmaster.internal/ubuntu oracular/main riscv64 passwd riscv64 1:4.13+dfsg1-4ubuntu3 [864 kB] Get:139 http://ftpmaster.internal/ubuntu oracular/main riscv64 libproc2-0 riscv64 2:4.0.4-4ubuntu3 [60.9 kB] Get:140 http://ftpmaster.internal/ubuntu oracular/main riscv64 libss2 riscv64 1.47.0-2.4~exp1ubuntu4 [17.1 kB] Get:141 http://ftpmaster.internal/ubuntu oracular/main riscv64 mawk riscv64 1.3.4.20240123-1build1 [129 kB] Get:142 http://ftpmaster.internal/ubuntu oracular/main riscv64 procps riscv64 2:4.0.4-4ubuntu3 [715 kB] Get:143 http://ftpmaster.internal/ubuntu oracular/main riscv64 sensible-utils all 0.0.22 [22.5 kB] Get:144 http://ftpmaster.internal/ubuntu oracular/main riscv64 ca-certificates all 20240203 [159 kB] Get:145 http://ftpmaster.internal/ubuntu oracular/main riscv64 krb5-locales all 1.20.1-6ubuntu2 [13.8 kB] Get:146 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 tzdata all 2024a-4ubuntu1 [274 kB] Get:147 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 bash-completion all 1:2.13.0-1 [205 kB] Get:148 http://ftpmaster.internal/ubuntu oracular/main riscv64 bsdextrautils riscv64 2.39.3-9ubuntu6 [92.2 kB] Get:149 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgpm2 riscv64 1.20.7-11 [15.0 kB] Get:150 http://ftpmaster.internal/ubuntu oracular/main riscv64 libip4tc2 riscv64 1.8.10-3ubuntu2 [23.9 kB] Get:151 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjansson4 riscv64 2.14-2build2 [34.7 kB] Get:152 http://ftpmaster.internal/ubuntu oracular/main riscv64 psmisc riscv64 23.7-1build1 [177 kB] Get:153 http://ftpmaster.internal/ubuntu oracular/main riscv64 xz-utils riscv64 5.6.1+really5.4.5-1 [269 kB] Get:154 http://ftpmaster.internal/ubuntu oracular/main riscv64 advancecomp riscv64 2.5-1build1 [237 kB] Get:155 http://ftpmaster.internal/ubuntu oracular/main riscv64 libctf0 riscv64 2.42-4ubuntu2 [104 kB] Get:156 http://ftpmaster.internal/ubuntu oracular/main riscv64 libctf-nobfd0 riscv64 2.42-4ubuntu2 [106 kB] Get:157 http://ftpmaster.internal/ubuntu oracular/main riscv64 binutils-riscv64-linux-gnu riscv64 2.42-4ubuntu2 [899 kB] Get:158 http://ftpmaster.internal/ubuntu oracular/main riscv64 libbinutils riscv64 2.42-4ubuntu2 [566 kB] Get:159 http://ftpmaster.internal/ubuntu oracular/main riscv64 binutils riscv64 2.42-4ubuntu2 [2984 B] Get:160 http://ftpmaster.internal/ubuntu oracular/main riscv64 binutils-common riscv64 2.42-4ubuntu2 [209 kB] Get:161 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsframe1 riscv64 2.42-4ubuntu2 [15.7 kB] Get:162 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libgomp1 riscv64 14-20240429-1ubuntu1 [145 kB] Get:163 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libatomic1 riscv64 14-20240429-1ubuntu1 [9500 B] Get:164 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libasan8 riscv64 14-20240429-1ubuntu1 [2624 kB] Get:165 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libubsan1 riscv64 14-20240429-1ubuntu1 [1079 kB] Get:166 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 g++-13 riscv64 13.2.0-24ubuntu1 [15.0 kB] Get:167 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 gcc-13 riscv64 13.2.0-24ubuntu1 [455 kB] Get:168 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libstdc++-13-dev riscv64 13.2.0-24ubuntu1 [5943 kB] Get:169 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libgcc-13-dev riscv64 13.2.0-24ubuntu1 [3858 kB] Get:170 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libcc1-0 riscv64 14-20240429-1ubuntu1 [45.2 kB] Get:171 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 cpp-13 riscv64 13.2.0-24ubuntu1 [1030 B] Get:172 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 gcc-13-base riscv64 13.2.0-24ubuntu1 [49.3 kB] Get:173 http://ftpmaster.internal/ubuntu oracular/main riscv64 libisl23 riscv64 0.26-3build1 [718 kB] Get:174 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmpfr6 riscv64 4.2.1-1build1 [293 kB] Get:175 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmpc3 riscv64 1.3.1-1build1 [59.7 kB] Get:176 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 gcc-13-riscv64-linux-gnu riscv64 13.2.0-24ubuntu1 [19.7 MB] Get:177 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 g++-13-riscv64-linux-gnu riscv64 13.2.0-24ubuntu1 [11.7 MB] Get:178 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 cpp-13-riscv64-linux-gnu riscv64 13.2.0-24ubuntu1 [10.3 MB] Get:179 http://ftpmaster.internal/ubuntu oracular/main riscv64 g++ riscv64 4:13.2.0-7ubuntu1 [1082 B] Get:180 http://ftpmaster.internal/ubuntu oracular/main riscv64 gcc riscv64 4:13.2.0-7ubuntu1 [5016 B] Get:181 http://ftpmaster.internal/ubuntu oracular/main riscv64 cpp riscv64 4:13.2.0-7ubuntu1 [22.4 kB] Get:182 http://ftpmaster.internal/ubuntu oracular/main riscv64 cpp-riscv64-linux-gnu riscv64 4:13.2.0-7ubuntu1 [5316 B] Get:183 http://ftpmaster.internal/ubuntu oracular/main riscv64 gcc-riscv64-linux-gnu riscv64 4:13.2.0-7ubuntu1 [1202 B] Get:184 http://ftpmaster.internal/ubuntu oracular/main riscv64 g++-riscv64-linux-gnu riscv64 4:13.2.0-7ubuntu1 [960 B] Get:185 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 dpkg-dev all 1.22.6ubuntu10 [1074 kB] Get:186 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libdpkg-perl all 1.22.6ubuntu10 [269 kB] Get:187 http://ftpmaster.internal/ubuntu oracular/main riscv64 patch riscv64 2.7.6-7build3 [113 kB] Get:188 http://ftpmaster.internal/ubuntu oracular/main riscv64 make riscv64 4.3-4.1build2 [178 kB] Get:189 http://ftpmaster.internal/ubuntu oracular/main riscv64 lto-disabled-list all 48 [12.3 kB] Get:190 http://ftpmaster.internal/ubuntu oracular/main riscv64 libfakeroot riscv64 1.34-1 [34.3 kB] Get:191 http://ftpmaster.internal/ubuntu oracular/main riscv64 fakeroot riscv64 1.34-1 [78.3 kB] Get:192 http://ftpmaster.internal/ubuntu oracular/main riscv64 liblockfile-bin riscv64 1.17-1build3 [11.6 kB] Get:193 http://ftpmaster.internal/ubuntu oracular/main riscv64 liblockfile1 riscv64 1.17-1build3 [7002 B] Get:194 http://ftpmaster.internal/ubuntu oracular/main riscv64 lockfile-progs riscv64 0.1.19+nmu1 [8622 B] Preconfiguring packages ... Fetched 116 MB in 24s (4841 kB/s) (Reading database ... 13552 files and directories currently installed.) Preparing to unpack .../libtirpc-common_1.3.4+ds-1.3_all.deb ... Unpacking libtirpc-common (1.3.4+ds-1.3) over (1.3.3+ds-1) ... Preparing to unpack .../libtirpc-dev_1.3.4+ds-1.3_riscv64.deb ... Unpacking libtirpc-dev:riscv64 (1.3.4+ds-1.3) over (1.3.3+ds-1) ... Preparing to unpack .../libnsl-dev_1.3.0-3build3_riscv64.deb ... Unpacking libnsl-dev:riscv64 (1.3.0-3build3) over (1.3.0-2build2) ... Preparing to unpack .../libnsl2_1.3.0-3build3_riscv64.deb ... Unpacking libnsl2:riscv64 (1.3.0-3build3) over (1.3.0-2build2) ... dpkg: libtirpc3:riscv64: dependency problems, but removing anyway as you requested: libnss-nisplus:riscv64 depends on libtirpc3 (>= 1.0.2). (Reading database ... 13552 files and directories currently installed.) Removing libtirpc3:riscv64 (1.3.3+ds-1) ... Selecting previously unselected package libtirpc3t64:riscv64. (Reading database ... 13546 files and directories currently installed.) Preparing to unpack .../0-libtirpc3t64_1.3.4+ds-1.3_riscv64.deb ... Adding 'diversion of /lib/riscv64-linux-gnu/libtirpc.so.3 to /lib/riscv64-linux-gnu/libtirpc.so.3.usr-is-merged by libtirpc3t64' Adding 'diversion of /lib/riscv64-linux-gnu/libtirpc.so.3.0.0 to /lib/riscv64-linux-gnu/libtirpc.so.3.0.0.usr-is-merged by libtirpc3t64' Unpacking libtirpc3t64:riscv64 (1.3.4+ds-1.3) ... Preparing to unpack .../1-libgssapi-krb5-2_1.20.1-6ubuntu2_riscv64.deb ... Unpacking libgssapi-krb5-2:riscv64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../2-libkrb5-3_1.20.1-6ubuntu2_riscv64.deb ... Unpacking libkrb5-3:riscv64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../3-libk5crypto3_1.20.1-6ubuntu2_riscv64.deb ... Unpacking libk5crypto3:riscv64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../4-libkrb5support0_1.20.1-6ubuntu2_riscv64.deb ... Unpacking libkrb5support0:riscv64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../5-libacl1_2.3.2-2_riscv64.deb ... Unpacking libacl1:riscv64 (2.3.2-2) over (2.3.1-3) ... Setting up libacl1:riscv64 (2.3.2-2) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libapparmor1_4.0.0-beta3-0ubuntu3_riscv64.deb ... Unpacking libapparmor1:riscv64 (4.0.0-beta3-0ubuntu3) over (4.0.0~alpha2-0ubuntu5) ... Preparing to unpack .../libaudit-common_1%3a3.1.2-2.1build1_all.deb ... Unpacking libaudit-common (1:3.1.2-2.1build1) over (1:3.1.1-1) ... Setting up libaudit-common (1:3.1.2-2.1build1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libcap-ng0_0.8.5-1_riscv64.deb ... Unpacking libcap-ng0:riscv64 (0.8.5-1) over (0.8.3-1build2) ... Setting up libcap-ng0:riscv64 (0.8.5-1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a3.1.2-2.1build1_riscv64.deb ... Unpacking libaudit1:riscv64 (1:3.1.2-2.1build1) over (1:3.1.1-1) ... Setting up libaudit1:riscv64 (1:3.1.2-2.1build1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libblkid1_2.39.3-9ubuntu6_riscv64.deb ... Unpacking libblkid1:riscv64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libblkid1:riscv64 (2.39.3-9ubuntu6) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libcap2_1%3a2.66-5ubuntu2_riscv64.deb ... Unpacking libcap2:riscv64 (1:2.66-5ubuntu2) over (1:2.66-4ubuntu1) ... Setting up libcap2:riscv64 (1:2.66-5ubuntu2) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libcrypt-dev_1%3a4.4.36-4build1_riscv64.deb ... Unpacking libcrypt-dev:riscv64 (1:4.4.36-4build1) over (1:4.4.36-2) ... Preparing to unpack .../libcrypt1_1%3a4.4.36-4build1_riscv64.deb ... Unpacking libcrypt1:riscv64 (1:4.4.36-4build1) over (1:4.4.36-2) ... Setting up libcrypt1:riscv64 (1:4.4.36-4build1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libgpg-error-l10n_1.47-3build2_all.deb ... Unpacking libgpg-error-l10n (1.47-3build2) over (1.47-2) ... Preparing to unpack .../libgpg-error0_1.47-3build2_riscv64.deb ... Unpacking libgpg-error0:riscv64 (1.47-3build2) over (1.47-2) ... Setting up libgpg-error0:riscv64 (1.47-3build2) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.10.3-2build1_riscv64.deb ... Unpacking libgcrypt20:riscv64 (1.10.3-2build1) over (1.10.2-3ubuntu1) ... Setting up libgcrypt20:riscv64 (1.10.3-2build1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../liblzma5_5.6.1+really5.4.5-1_riscv64.deb ... Unpacking liblzma5:riscv64 (5.6.1+really5.4.5-1) over (5.4.1-0.2) ... Setting up liblzma5:riscv64 (5.6.1+really5.4.5-1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libzstd1_1.5.5+dfsg2-2build1_riscv64.deb ... Unpacking libzstd1:riscv64 (1.5.5+dfsg2-2build1) over (1.5.5+dfsg2-1ubuntu2) ... Setting up libzstd1:riscv64 (1.5.5+dfsg2-2build1) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libkmod2_31+20240202-2ubuntu7_riscv64.deb ... Unpacking libkmod2:riscv64 (31+20240202-2ubuntu7) over (30+20230519-1ubuntu3) ... Preparing to unpack .../liblz4-1_1.9.4-2_riscv64.deb ... Unpacking liblz4-1:riscv64 (1.9.4-2) over (1.9.4-1) ... Setting up liblz4-1:riscv64 (1.9.4-2) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libpcre2-8-0_10.42-4ubuntu2_riscv64.deb ... Unpacking libpcre2-8-0:riscv64 (10.42-4ubuntu2) over (10.42-4) ... Setting up libpcre2-8-0:riscv64 (10.42-4ubuntu2) ... (Reading database ... 13557 files and directories currently installed.) Preparing to unpack .../libselinux1_3.5-2ubuntu2_riscv64.deb ... Unpacking libselinux1:riscv64 (3.5-2ubuntu2) over (3.5-1) ... Setting up libselinux1:riscv64 (3.5-2ubuntu2) ... (Reading database ... 13558 files and directories currently installed.) Preparing to unpack .../libmount1_2.39.3-9ubuntu6_riscv64.deb ... Unpacking libmount1:riscv64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libmount1:riscv64 (2.39.3-9ubuntu6) ... (Reading database ... 13558 files and directories currently installed.) Preparing to unpack .../perl_5.38.2-4_riscv64.deb ... Unpacking perl (5.38.2-4) over (5.36.0-9ubuntu1) ... Selecting previously unselected package perl-modules-5.38. Preparing to unpack .../perl-modules-5.38_5.38.2-4_all.deb ... Unpacking perl-modules-5.38 (5.38.2-4) ... dpkg: libdb5.3:riscv64: dependency problems, but removing anyway as you requested: libperl5.36:riscv64 depends on libdb5.3. libpam-modules:riscv64 depends on libdb5.3. apt-utils depends on libdb5.3. (Reading database ... 14970 files and directories currently installed.) Removing libdb5.3:riscv64 (5.3.28+dfsg2-2) ... Selecting previously unselected package libdb5.3t64:riscv64. (Reading database ... 14964 files and directories currently installed.) Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-7_riscv64.deb ... Unpacking libdb5.3t64:riscv64 (5.3.28+dfsg2-7) ... Setting up libdb5.3t64:riscv64 (5.3.28+dfsg2-7) ... dpkg: libgdbm6:riscv64: dependency problems, but removing anyway as you requested: libperl5.36:riscv64 depends on libgdbm6 (>= 1.21). libgdbm-compat4:riscv64 depends on libgdbm6 (>= 1.16). (Reading database ... 14970 files and directories currently installed.) Removing libgdbm6:riscv64 (1.23-3) ... Selecting previously unselected package libgdbm6t64:riscv64. (Reading database ... 14965 files and directories currently installed.) Preparing to unpack .../libgdbm6t64_1.23-5.1build1_riscv64.deb ... Unpacking libgdbm6t64:riscv64 (1.23-5.1build1) ... dpkg: libgdbm-compat4:riscv64: dependency problems, but removing anyway as you requested: libperl5.36:riscv64 depends on libgdbm-compat4 (>= 1.18-3). (Reading database ... 14971 files and directories currently installed.) Removing libgdbm-compat4:riscv64 (1.23-3) ... Selecting previously unselected package libgdbm-compat4t64:riscv64. (Reading database ... 14966 files and directories currently installed.) Preparing to unpack .../libgdbm-compat4t64_1.23-5.1build1_riscv64.deb ... Unpacking libgdbm-compat4t64:riscv64 (1.23-5.1build1) ... Selecting previously unselected package libperl5.38t64:riscv64. Preparing to unpack .../libperl5.38t64_5.38.2-4_riscv64.deb ... Unpacking libperl5.38t64:riscv64 (5.38.2-4) ... Preparing to unpack .../perl-base_5.38.2-4_riscv64.deb ... Unpacking perl-base (5.38.2-4) over (5.36.0-9ubuntu1) ... Setting up perl-base (5.38.2-4) ... (Reading database ... 15492 files and directories currently installed.) Preparing to unpack .../0-liblocale-gettext-perl_1.07-6ubuntu5_riscv64.deb ... Unpacking liblocale-gettext-perl (1.07-6ubuntu5) over (1.07-6) ... Preparing to unpack .../1-libtext-iconv-perl_1.7-8build3_riscv64.deb ... Unpacking libtext-iconv-perl:riscv64 (1.7-8build3) over (1.7-8) ... Preparing to unpack .../2-libtext-charwidth-perl_0.04-11build3_riscv64.deb ... Unpacking libtext-charwidth-perl:riscv64 (0.04-11build3) over (0.04-11) ... Preparing to unpack .../3-openssl_3.0.13-0ubuntu3_riscv64.deb ... Unpacking openssl (3.0.13-0ubuntu3) over (3.0.10-1ubuntu2) ... Preparing to unpack .../4-libsystemd-shared_255.4-1ubuntu8_riscv64.deb ... Unpacking libsystemd-shared:riscv64 (255.4-1ubuntu8) over (253.5-1ubuntu6) ... Preparing to unpack .../5-libcryptsetup12_2%3a2.7.0-1ubuntu4_riscv64.deb ... Unpacking libcryptsetup12:riscv64 (2:2.7.0-1ubuntu4) over (2:2.6.1-4ubuntu3) ... dpkg: libssl3:riscv64: dependency problems, but removing anyway as you requested: systemd depends on libssl3 (>= 3.0.0). (Reading database ... 15491 files and directories currently installed.) Removing libssl3:riscv64 (3.0.10-1ubuntu2) ... Selecting previously unselected package libssl3t64:riscv64. (Reading database ... 15480 files and directories currently installed.) Preparing to unpack .../libssl3t64_3.0.13-0ubuntu3_riscv64.deb ... Unpacking libssl3t64:riscv64 (3.0.13-0ubuntu3) ... Setting up libssl3t64:riscv64 (3.0.13-0ubuntu3) ... (Reading database ... 15493 files and directories currently installed.) Preparing to unpack .../systemd-dev_255.4-1ubuntu8_all.deb ... Unpacking systemd-dev (255.4-1ubuntu8) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd-sysv_255.4-1ubuntu8_riscv64.deb ... Unpacking systemd-sysv (255.4-1ubuntu8) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd_255.4-1ubuntu8_riscv64.deb ... Unpacking systemd (255.4-1ubuntu8) over (253.5-1ubuntu6) ... dpkg: warning: unable to delete old directory '/lib/systemd/system-preset': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system-generators': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@0.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user-.slice.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/timers.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/systemd-localed.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sysinit.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sockets.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rescue.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rc-local.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/multi-user.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-fs.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-device.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/graphical.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/getty.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/network': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/journald.conf.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/modprobe.d': Directory not empty Preparing to unpack .../libsystemd0_255.4-1ubuntu8_riscv64.deb ... Unpacking libsystemd0:riscv64 (255.4-1ubuntu8) over (253.5-1ubuntu6) ... Setting up libsystemd0:riscv64 (255.4-1ubuntu8) ... dpkg: libgnutls30:riscv64: dependency problems, but removing anyway as you requested: apt depends on libgnutls30 (>= 3.7.5). (Reading database ... 15655 files and directories currently installed.) Removing libgnutls30:riscv64 (3.8.1-4ubuntu1) ... Removing libhogweed6:riscv64 (3.9.1-2) ... Removing libnettle8:riscv64 (3.9.1-2) ... dpkg: libapt-pkg6.0:riscv64: dependency problems, but removing anyway as you requested: apt-utils depends on libapt-pkg6.0 (>= 2.7.3). apt depends on libapt-pkg6.0 (>= 2.7.3). Removing libapt-pkg6.0:riscv64 (2.7.3) ... Selecting previously unselected package libapt-pkg6.0t64:riscv64. (Reading database ... 15584 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0t64_2.9.2_riscv64.deb ... Unpacking libapt-pkg6.0t64:riscv64 (2.9.2) ... Setting up libapt-pkg6.0t64:riscv64 (2.9.2) ... Selecting previously unselected package libnettle8t64:riscv64. (Reading database ... 15634 files and directories currently installed.) Preparing to unpack .../libnettle8t64_3.9.1-2.2build1_riscv64.deb ... Unpacking libnettle8t64:riscv64 (3.9.1-2.2build1) ... Setting up libnettle8t64:riscv64 (3.9.1-2.2build1) ... Selecting previously unselected package libhogweed6t64:riscv64. (Reading database ... 15642 files and directories currently installed.) Preparing to unpack .../libhogweed6t64_3.9.1-2.2build1_riscv64.deb ... Unpacking libhogweed6t64:riscv64 (3.9.1-2.2build1) ... Setting up libhogweed6t64:riscv64 (3.9.1-2.2build1) ... (Reading database ... 15648 files and directories currently installed.) Preparing to unpack .../libp11-kit0_0.25.3-4ubuntu2_riscv64.deb ... Unpacking libp11-kit0:riscv64 (0.25.3-4ubuntu2) over (0.25.0-4ubuntu1) ... Setting up libp11-kit0:riscv64 (0.25.3-4ubuntu2) ... Selecting previously unselected package libunistring5:riscv64. (Reading database ... 15648 files and directories currently installed.) Preparing to unpack .../libunistring5_1.2-1_riscv64.deb ... Unpacking libunistring5:riscv64 (1.2-1) ... Setting up libunistring5:riscv64 (1.2-1) ... Selecting previously unselected package libgnutls30t64:riscv64. (Reading database ... 15653 files and directories currently installed.) Preparing to unpack .../libgnutls30t64_3.8.3-1.1ubuntu3.1_riscv64.deb ... Unpacking libgnutls30t64:riscv64 (3.8.3-1.1ubuntu3.1) ... Setting up libgnutls30t64:riscv64 (3.8.3-1.1ubuntu3.1) ... (Reading database ... 15665 files and directories currently installed.) Preparing to unpack .../archives/apt_2.9.2_riscv64.deb ... Unpacking apt (2.9.2) over (2.7.3) ... Setting up apt (2.9.2) ... (Reading database ... 15665 files and directories currently installed.) Preparing to unpack .../apt-utils_2.9.2_riscv64.deb ... Unpacking apt-utils (2.9.2) over (2.7.3) ... Preparing to unpack .../libpam-modules-bin_1.5.3-7ubuntu1_riscv64.deb ... Unpacking libpam-modules-bin (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... Setting up libpam-modules-bin (1.5.3-7ubuntu1) ... (Reading database ... 15664 files and directories currently installed.) Preparing to unpack .../libpam-modules_1.5.3-7ubuntu1_riscv64.deb ... Unpacking libpam-modules:riscv64 (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/riscv64-linux-gnu/security': Directory not empty Setting up libpam-modules:riscv64 (1.5.3-7ubuntu1) ... Installing new version of config file /etc/security/namespace.init ... (Reading database ... 15664 files and directories currently installed.) Preparing to unpack .../bzip2_1.0.8-5.1_riscv64.deb ... Unpacking bzip2 (1.0.8-5.1) over (1.0.8-5build1) ... dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') Preparing to unpack .../libbz2-1.0_1.0.8-5.1_riscv64.deb ... Unpacking libbz2-1.0:riscv64 (1.0.8-5.1) over (1.0.8-5build1) ... Setting up libbz2-1.0:riscv64 (1.0.8-5.1) ... Selecting previously unselected package gcc-14-base:riscv64. (Reading database ... 15664 files and directories currently installed.) Preparing to unpack .../gcc-14-base_14-20240429-1ubuntu1_riscv64.deb ... Unpacking gcc-14-base:riscv64 (14-20240429-1ubuntu1) ... Setting up gcc-14-base:riscv64 (14-20240429-1ubuntu1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libgcc-s1_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libgcc-s1:riscv64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Setting up libgcc-s1:riscv64 (14-20240429-1ubuntu1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libstdc++6_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libstdc++6:riscv64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Setting up libstdc++6:riscv64 (14-20240429-1ubuntu1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libudev1_255.4-1ubuntu8_riscv64.deb ... Unpacking libudev1:riscv64 (255.4-1ubuntu8) over (253.5-1ubuntu6) ... Setting up libudev1:riscv64 (255.4-1ubuntu8) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libxxhash0_0.8.2-2build1_riscv64.deb ... Unpacking libxxhash0:riscv64 (0.8.2-2build1) over (0.8.1-1) ... Setting up libxxhash0:riscv64 (0.8.2-2build1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../zlib1g_1%3a1.3.dfsg-3.1ubuntu2_riscv64.deb ... Unpacking zlib1g:riscv64 (1:1.3.dfsg-3.1ubuntu2) over (1:1.2.13.dfsg-1ubuntu5) ... Setting up zlib1g:riscv64 (1:1.3.dfsg-3.1ubuntu2) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libgmp10_2%3a6.3.0+dfsg-2ubuntu6_riscv64.deb ... Unpacking libgmp10:riscv64 (2:6.3.0+dfsg-2ubuntu6) over (2:6.3.0+dfsg-2ubuntu4) ... Setting up libgmp10:riscv64 (2:6.3.0+dfsg-2ubuntu6) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libffi8_3.4.6-1build1_riscv64.deb ... Unpacking libffi8:riscv64 (3.4.6-1build1) over (3.4.4-1) ... Setting up libffi8:riscv64 (3.4.6-1build1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libidn2-0_2.3.7-2build1_riscv64.deb ... Unpacking libidn2-0:riscv64 (2.3.7-2build1) over (2.3.4-1) ... Setting up libidn2-0:riscv64 (2.3.7-2build1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libtasn1-6_4.19.0-3build1_riscv64.deb ... Unpacking libtasn1-6:riscv64 (4.19.0-3build1) over (4.19.0-3) ... Setting up libtasn1-6:riscv64 (4.19.0-3build1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libdebconfclient0_0.271ubuntu3_riscv64.deb ... Unpacking libdebconfclient0:riscv64 (0.271ubuntu3) over (0.270ubuntu1) ... Setting up libdebconfclient0:riscv64 (0.271ubuntu3) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../base-passwd_3.6.3build1_riscv64.deb ... Unpacking base-passwd (3.6.3build1) over (3.6.1) ... Setting up base-passwd (3.6.3build1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libassuan0_2.5.6-1build1_riscv64.deb ... Unpacking libassuan0:riscv64 (2.5.6-1build1) over (2.5.6-1) ... Setting up libassuan0:riscv64 (2.5.6-1build1) ... (Reading database ... 15669 files and directories currently installed.) Preparing to unpack .../libsqlite3-0_3.45.3-1_riscv64.deb ... Unpacking libsqlite3-0:riscv64 (3.45.3-1) over (3.42.0-1) ... Preparing to unpack .../gpg_2.4.4-2ubuntu17_riscv64.deb ... Unpacking gpg (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... dpkg: libreadline8:riscv64: dependency problems, but removing anyway as you requested: gpgconf depends on libreadline8 (>= 6.0). (Reading database ... 15669 files and directories currently installed.) Removing libreadline8:riscv64 (8.2-1.3) ... Selecting previously unselected package libreadline8t64:riscv64. (Reading database ... 15657 files and directories currently installed.) Preparing to unpack .../libreadline8t64_8.2-4build1_riscv64.deb ... Adding 'diversion of /lib/riscv64-linux-gnu/libhistory.so.8 to /lib/riscv64-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/riscv64-linux-gnu/libhistory.so.8.2 to /lib/riscv64-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/riscv64-linux-gnu/libreadline.so.8 to /lib/riscv64-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/riscv64-linux-gnu/libreadline.so.8.2 to /lib/riscv64-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:riscv64 (8.2-4build1) ... Preparing to unpack .../readline-common_8.2-4build1_all.deb ... Unpacking readline-common (8.2-4build1) over (8.2-1.3) ... Preparing to unpack .../libncursesw6_6.4+20240113-1ubuntu2_riscv64.deb ... Unpacking libncursesw6:riscv64 (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Preparing to unpack .../libtinfo6_6.4+20240113-1ubuntu2_riscv64.deb ... Unpacking libtinfo6:riscv64 (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up libtinfo6:riscv64 (6.4+20240113-1ubuntu2) ... (Reading database ... 15677 files and directories currently installed.) Preparing to unpack .../gpg-agent_2.4.4-2ubuntu17_riscv64.deb ... Unpacking gpg-agent (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../gpgconf_2.4.4-2ubuntu17_riscv64.deb ... Unpacking gpgconf (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../pinentry-curses_1.2.1-3ubuntu5_riscv64.deb ... Unpacking pinentry-curses (1.2.1-3ubuntu5) over (1.2.1-1ubuntu1) ... Preparing to unpack .../init-system-helpers_1.66ubuntu1_all.deb ... Unpacking init-system-helpers (1.66ubuntu1) over (1.65.2ubuntu1) ... Setting up init-system-helpers (1.66ubuntu1) ... (Reading database ... 15676 files and directories currently installed.) Removing libnpth0:riscv64 (1.6-3build2) ... Selecting previously unselected package libnpth0t64:riscv64. (Reading database ... 15671 files and directories currently installed.) Preparing to unpack .../libnpth0t64_1.6-3.1build1_riscv64.deb ... Unpacking libnpth0t64:riscv64 (1.6-3.1build1) ... Setting up libnpth0t64:riscv64 (1.6-3.1build1) ... (Reading database ... 15677 files and directories currently installed.) Preparing to unpack .../gpgv_2.4.4-2ubuntu17_riscv64.deb ... Unpacking gpgv (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Setting up gpgv (2.4.4-2ubuntu17) ... (Reading database ... 15677 files and directories currently installed.) Preparing to unpack .../ubuntu-keyring_2023.11.28.1_all.deb ... Unpacking ubuntu-keyring (2023.11.28.1) over (2021.03.26) ... Setting up ubuntu-keyring (2023.11.28.1) ... (Reading database ... 15677 files and directories currently installed.) Preparing to unpack .../debconf-i18n_1.5.86ubuntu1_all.deb ... Unpacking debconf-i18n (1.5.86ubuntu1) over (1.5.82) ... Preparing to unpack .../debconf_1.5.86ubuntu1_all.deb ... Unpacking debconf (1.5.86ubuntu1) over (1.5.82) ... Setting up debconf (1.5.86ubuntu1) ... Installing new version of config file /etc/debconf.conf ... (Reading database ... 15676 files and directories currently installed.) Preparing to unpack .../libpam0g_1.5.3-7ubuntu1_riscv64.deb ... Unpacking libpam0g:riscv64 (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... Setting up libpam0g:riscv64 (1.5.3-7ubuntu1) ... (Reading database ... 15675 files and directories currently installed.) Preparing to unpack .../libseccomp2_2.5.5-1ubuntu3_riscv64.deb ... Unpacking libseccomp2:riscv64 (2.5.5-1ubuntu3) over (2.5.4-1ubuntu3) ... Preparing to unpack .../libargon2-1_0~20190702+dfsg-4build1_riscv64.deb ... Unpacking libargon2-1:riscv64 (0~20190702+dfsg-4build1) over (0~20190702+dfsg-3) ... Preparing to unpack .../libdevmapper1.02.1_2%3a1.02.185-3ubuntu3_riscv64.deb ... Unpacking libdevmapper1.02.1:riscv64 (2:1.02.185-3ubuntu3) over (2:1.02.185-2ubuntu1) ... Preparing to unpack .../libjson-c5_0.17-1build1_riscv64.deb ... Unpacking libjson-c5:riscv64 (0.17-1build1) over (0.17-1) ... Preparing to unpack .../libuuid1_2.39.3-9ubuntu6_riscv64.deb ... Unpacking libuuid1:riscv64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libuuid1:riscv64 (2.39.3-9ubuntu6) ... (Reading database ... 15675 files and directories currently installed.) Preparing to unpack .../00-libfdisk1_2.39.3-9ubuntu6_riscv64.deb ... Unpacking libfdisk1:riscv64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Preparing to unpack .../01-mount_2.39.3-9ubuntu6_riscv64.deb ... Unpacking mount (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Preparing to unpack .../02-libcom-err2_1.47.0-2.4~exp1ubuntu4_riscv64.deb ... Unpacking libcom-err2:riscv64 (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... Preparing to unpack .../03-libkeyutils1_1.6.3-3build1_riscv64.deb ... Unpacking libkeyutils1:riscv64 (1.6.3-3build1) over (1.6.3-2) ... Preparing to unpack .../04-libnss-nisplus_1.3-5build1_riscv64.deb ... Unpacking libnss-nisplus:riscv64 (1.3-5build1) over (1.3-0ubuntu6) ... Preparing to unpack .../05-libnss-nis_3.1-0ubuntu7_riscv64.deb ... Unpacking libnss-nis:riscv64 (3.1-0ubuntu7) over (3.1-0ubuntu6) ... Preparing to unpack .../06-rpcsvc-proto_1.4.2-0ubuntu7_riscv64.deb ... Unpacking rpcsvc-proto (1.4.2-0ubuntu7) over (1.4.2-0ubuntu6) ... Preparing to unpack .../07-libc6-dev_2.39-0ubuntu8.1_riscv64.deb ... Unpacking libc6-dev:riscv64 (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Preparing to unpack .../08-libc-dev-bin_2.39-0ubuntu8.1_riscv64.deb ... Unpacking libc-dev-bin (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Preparing to unpack .../09-linux-libc-dev_6.8.0-31.31_riscv64.deb ... Unpacking linux-libc-dev:riscv64 (6.8.0-31.31) over (6.5.0-9.9) ... Preparing to unpack .../10-libc6_2.39-0ubuntu8.1_riscv64.deb ... Unpacking libc6:riscv64 (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Setting up libc6:riscv64 (2.39-0ubuntu8.1) ... (Reading database ... 15690 files and directories currently installed.) Preparing to unpack .../libc-bin_2.39-0ubuntu8.1_riscv64.deb ... Unpacking libc-bin (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Setting up libc-bin (2.39-0ubuntu8.1) ... (Reading database ... 15690 files and directories currently installed.) Preparing to unpack .../base-files_13.1ubuntu1_riscv64.deb ... Unpacking base-files (13.1ubuntu1) over (13ubuntu3) ... Setting up base-files (13.1ubuntu1) ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... Installing new version of config file /etc/update-motd.d/10-help-text ... (Reading database ... 15699 files and directories currently installed.) Preparing to unpack .../debianutils_5.17build1_riscv64.deb ... Unpacking debianutils (5.17build1) over (5.8-1) ... Setting up debianutils (5.17build1) ... (Reading database ... 15698 files and directories currently installed.) Preparing to unpack .../bash_5.2.21-2ubuntu4_riscv64.deb ... Unpacking bash (5.2.21-2ubuntu4) over (5.2.15-2ubuntu1) ... Setting up bash (5.2.21-2ubuntu4) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 15698 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.39.3-9ubuntu6_riscv64.deb ... Unpacking bsdutils (1:2.39.3-9ubuntu6) over (1:2.39.1-4ubuntu2) ... Setting up bsdutils (1:2.39.3-9ubuntu6) ... (Reading database ... 15698 files and directories currently installed.) Removing usrmerge (35ubuntu1) ... (Reading database ... 15672 files and directories currently installed.) Preparing to unpack .../coreutils_9.4-3ubuntu6_riscv64.deb ... Unpacking coreutils (9.4-3ubuntu6) over (9.1-1ubuntu2) ... Setting up coreutils (9.4-3ubuntu6) ... (Reading database ... 15677 files and directories currently installed.) Preparing to unpack .../tar_1.35+dfsg-3build1_riscv64.deb ... Unpacking tar (1.35+dfsg-3build1) over (1.34+dfsg-1.2ubuntu1) ... Setting up tar (1.35+dfsg-3build1) ... (Reading database ... 15677 files and directories currently installed.) Preparing to unpack .../dpkg_1.22.6ubuntu10_riscv64.deb ... Unpacking dpkg (1.22.6ubuntu10) over (1.22.0ubuntu1) ... Setting up dpkg (1.22.6ubuntu10) ... (Reading database ... 15675 files and directories currently installed.) Preparing to unpack .../dash_0.5.12-6ubuntu5_riscv64.deb ... Unpacking dash (0.5.12-6ubuntu5) over (0.5.12-6ubuntu1) ... Setting up dash (0.5.12-6ubuntu5) ... (Reading database ... 15674 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.10-1build1_riscv64.deb ... Unpacking diffutils (1:3.10-1build1) over (1:3.8-4) ... Setting up diffutils (1:3.10-1build1) ... (Reading database ... 15674 files and directories currently installed.) Preparing to unpack .../findutils_4.9.0-5build1_riscv64.deb ... Unpacking findutils (4.9.0-5build1) over (4.9.0-5) ... Setting up findutils (4.9.0-5build1) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../grep_3.11-4build1_riscv64.deb ... Unpacking grep (3.11-4build1) over (3.11-2) ... Setting up grep (3.11-4build1) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../gzip_1.12-1ubuntu3_riscv64.deb ... Unpacking gzip (1.12-1ubuntu3) over (1.12-1ubuntu1) ... dpkg: warning: old file '/bin/uncompress' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') dpkg: warning: old file '/bin/gunzip' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') Setting up gzip (1.12-1ubuntu3) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../hostname_3.23+nmu2ubuntu2_riscv64.deb ... Unpacking hostname (3.23+nmu2ubuntu2) over (3.23+nmu1ubuntu1) ... Setting up hostname (3.23+nmu2ubuntu2) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../login_1%3a4.13+dfsg1-4ubuntu3_riscv64.deb ... Unpacking login (1:4.13+dfsg1-4ubuntu3) over (1:4.13+dfsg1-1ubuntu1) ... Setting up login (1:4.13+dfsg1-4ubuntu3) ... Installing new version of config file /etc/login.defs ... Installing new version of config file /etc/pam.d/login ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../ncurses-bin_6.4+20240113-1ubuntu2_riscv64.deb ... Unpacking ncurses-bin (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up ncurses-bin (6.4+20240113-1ubuntu2) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../sed_4.9-2build1_riscv64.deb ... Unpacking sed (4.9-2build1) over (4.9-1) ... Setting up sed (4.9-2build1) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../util-linux_2.39.3-9ubuntu6_riscv64.deb ... Unpacking util-linux (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up util-linux (2.39.3-9ubuntu6) ... (Reading database ... 15675 files and directories currently installed.) Preparing to unpack .../ncurses-base_6.4+20240113-1ubuntu2_all.deb ... Unpacking ncurses-base (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up ncurses-base (6.4+20240113-1ubuntu2) ... (Reading database ... 15675 files and directories currently installed.) Preparing to unpack .../sysvinit-utils_3.08-6ubuntu3_riscv64.deb ... Unpacking sysvinit-utils (3.08-6ubuntu3) over (3.07-1ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/lsb/init-functions.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/lsb': Directory not empty dpkg: warning: unable to delete old directory '/lib/init': Directory not empty Setting up sysvinit-utils (3.08-6ubuntu3) ... (Reading database ... 15673 files and directories currently installed.) Preparing to unpack .../logsave_1.47.0-2.4~exp1ubuntu4_riscv64.deb ... Unpacking logsave (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... dpkg: libext2fs2:riscv64: dependency problems, but removing anyway as you requested: e2fsprogs depends on libext2fs2 (= 1.47.0-2ubuntu1). (Reading database ... 15673 files and directories currently installed.) Removing libext2fs2:riscv64 (1.47.0-2ubuntu1) ... Selecting previously unselected package libext2fs2t64:riscv64. (Reading database ... 15666 files and directories currently installed.) Preparing to unpack .../libext2fs2t64_1.47.0-2.4~exp1ubuntu4_riscv64.deb ... Adding 'diversion of /lib/riscv64-linux-gnu/libe2p.so.2 to /lib/riscv64-linux-gnu/libe2p.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/riscv64-linux-gnu/libe2p.so.2.3 to /lib/riscv64-linux-gnu/libe2p.so.2.3.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/riscv64-linux-gnu/libext2fs.so.2 to /lib/riscv64-linux-gnu/libext2fs.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/riscv64-linux-gnu/libext2fs.so.2.4 to /lib/riscv64-linux-gnu/libext2fs.so.2.4.usr-is-merged by libext2fs2t64' Unpacking libext2fs2t64:riscv64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libcom-err2:riscv64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libext2fs2t64:riscv64 (1.47.0-2.4~exp1ubuntu4) ... (Reading database ... 15682 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.47.0-2.4~exp1ubuntu4_riscv64.deb ... Unpacking e2fsprogs (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/udev/rules.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/udev': Directory not empty Preparing to unpack .../optipng_0.7.8+ds-1build2_riscv64.deb ... Unpacking optipng (0.7.8+ds-1build2) over (0.7.7-2build1) ... (Reading database ... 15680 files and directories currently installed.) Removing libpng16-16:riscv64 (1.6.40-1) ... Selecting previously unselected package libpng16-16t64:riscv64. (Reading database ... 15670 files and directories currently installed.) Preparing to unpack .../libpng16-16t64_1.6.43-5build1_riscv64.deb ... Unpacking libpng16-16t64:riscv64 (1.6.43-5build1) ... Setting up libapparmor1:riscv64 (4.0.0-beta3-0ubuntu3) ... Setting up libargon2-1:riscv64 (0~20190702+dfsg-4build1) ... Setting up libdevmapper1.02.1:riscv64 (2:1.02.185-3ubuntu3) ... Setting up libjson-c5:riscv64 (0.17-1build1) ... Setting up libcryptsetup12:riscv64 (2:2.7.0-1ubuntu4) ... Setting up libfdisk1:riscv64 (2.39.3-9ubuntu6) ... Setting up libkmod2:riscv64 (31+20240202-2ubuntu7) ... Setting up libseccomp2:riscv64 (2.5.5-1ubuntu3) ... Setting up libsystemd-shared:riscv64 (255.4-1ubuntu8) ... Setting up systemd-dev (255.4-1ubuntu8) ... Setting up mount (2.39.3-9ubuntu6) ... Setting up systemd (255.4-1ubuntu8) ... Installing new version of config file /etc/systemd/journald.conf ... Installing new version of config file /etc/systemd/logind.conf ... Installing new version of config file /etc/systemd/networkd.conf ... Installing new version of config file /etc/systemd/pstore.conf ... Installing new version of config file /etc/systemd/sleep.conf ... Installing new version of config file /etc/systemd/system.conf ... Installing new version of config file /etc/systemd/user.conf ... Initializing machine ID from random generator. Setting up systemd-sysv (255.4-1ubuntu8) ... (Reading database ... 15681 files and directories currently installed.) Preparing to unpack .../init_1.66ubuntu1_riscv64.deb ... Unpacking init (1.66ubuntu1) over (1.65.2ubuntu1) ... Preparing to unpack .../libsmartcols1_2.39.3-9ubuntu6_riscv64.deb ... Unpacking libsmartcols1:riscv64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libsmartcols1:riscv64 (2.39.3-9ubuntu6) ... (Reading database ... 15682 files and directories currently installed.) Preparing to unpack .../uuid-runtime_2.39.3-9ubuntu6_riscv64.deb ... Unpacking uuid-runtime (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... dpkg: warning: unable to delete old directory '/lib/systemd/system': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd': Directory not empty Preparing to unpack .../libattr1_1%3a2.5.2-1build1_riscv64.deb ... Unpacking libattr1:riscv64 (1:2.5.2-1build1) over (1:2.5.1-4) ... Setting up libattr1:riscv64 (1:2.5.2-1build1) ... (Reading database ... 15680 files and directories currently installed.) Preparing to unpack .../libmd0_1.1.0-2build1_riscv64.deb ... Unpacking libmd0:riscv64 (1.1.0-2build1) over (1.1.0-1) ... Setting up libmd0:riscv64 (1.1.0-2build1) ... (Reading database ... 15680 files and directories currently installed.) Preparing to unpack .../libpam-runtime_1.5.3-7ubuntu1_all.deb ... Unpacking libpam-runtime (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... Setting up libpam-runtime (1.5.3-7ubuntu1) ... (Reading database ... 15679 files and directories currently installed.) Preparing to unpack .../libsemanage-common_3.5-1build5_all.deb ... Unpacking libsemanage-common (3.5-1build5) over (3.5-1) ... Setting up libsemanage-common (3.5-1build5) ... (Reading database ... 15679 files and directories currently installed.) Preparing to unpack .../libsepol2_3.5-2build1_riscv64.deb ... Unpacking libsepol2:riscv64 (3.5-2build1) over (3.5-1) ... Setting up libsepol2:riscv64 (3.5-2build1) ... (Reading database ... 15679 files and directories currently installed.) Preparing to unpack .../libsemanage2_3.5-1build5_riscv64.deb ... Unpacking libsemanage2:riscv64 (3.5-1build5) over (3.5-1) ... Setting up libsemanage2:riscv64 (3.5-1build5) ... (Reading database ... 15679 files and directories currently installed.) Preparing to unpack .../passwd_1%3a4.13+dfsg1-4ubuntu3_riscv64.deb ... Unpacking passwd (1:4.13+dfsg1-4ubuntu3) over (1:4.13+dfsg1-1ubuntu1) ... Setting up passwd (1:4.13+dfsg1-4ubuntu3) ... (Reading database ... 15679 files and directories currently installed.) Preparing to unpack .../00-libproc2-0_2%3a4.0.4-4ubuntu3_riscv64.deb ... Unpacking libproc2-0:riscv64 (2:4.0.4-4ubuntu3) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../01-libss2_1.47.0-2.4~exp1ubuntu4_riscv64.deb ... Unpacking libss2:riscv64 (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... Preparing to unpack .../02-mawk_1.3.4.20240123-1build1_riscv64.deb ... Unpacking mawk (1.3.4.20240123-1build1) over (1.3.4.20230730-1) ... Preparing to unpack .../03-procps_2%3a4.0.4-4ubuntu3_riscv64.deb ... Unpacking procps (2:4.0.4-4ubuntu3) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../04-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) over (0.0.20) ... Preparing to unpack .../05-ca-certificates_20240203_all.deb ... Unpacking ca-certificates (20240203) over (20230311ubuntu1) ... Preparing to unpack .../06-krb5-locales_1.20.1-6ubuntu2_all.deb ... Unpacking krb5-locales (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../07-tzdata_2024a-4ubuntu1_all.deb ... Unpacking tzdata (2024a-4ubuntu1) over (2023c-9ubuntu1) ... Preparing to unpack .../08-bash-completion_1%3a2.13.0-1_all.deb ... Unpacking bash-completion (1:2.13.0-1) over (1:2.11-7) ... Preparing to unpack .../09-bsdextrautils_2.39.3-9ubuntu6_riscv64.deb ... Unpacking bsdextrautils (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Preparing to unpack .../10-libgpm2_1.20.7-11_riscv64.deb ... Unpacking libgpm2:riscv64 (1.20.7-11) over (1.20.7-10build1) ... Preparing to unpack .../11-libip4tc2_1.8.10-3ubuntu2_riscv64.deb ... Unpacking libip4tc2:riscv64 (1.8.10-3ubuntu2) over (1.8.9-2ubuntu2) ... Preparing to unpack .../12-libjansson4_2.14-2build2_riscv64.deb ... Unpacking libjansson4:riscv64 (2.14-2build2) over (2.14-2) ... Preparing to unpack .../13-psmisc_23.7-1build1_riscv64.deb ... Unpacking psmisc (23.7-1build1) over (23.6-1) ... Preparing to unpack .../14-xz-utils_5.6.1+really5.4.5-1_riscv64.deb ... Unpacking xz-utils (5.6.1+really5.4.5-1) over (5.4.1-0.2) ... Preparing to unpack .../15-advancecomp_2.5-1build1_riscv64.deb ... Unpacking advancecomp (2.5-1build1) over (2.5-1) ... Preparing to unpack .../16-libctf0_2.42-4ubuntu2_riscv64.deb ... Unpacking libctf0:riscv64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../17-libctf-nobfd0_2.42-4ubuntu2_riscv64.deb ... Unpacking libctf-nobfd0:riscv64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../18-binutils-riscv64-linux-gnu_2.42-4ubuntu2_riscv64.deb ... Unpacking binutils-riscv64-linux-gnu (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../19-libbinutils_2.42-4ubuntu2_riscv64.deb ... Unpacking libbinutils:riscv64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../20-binutils_2.42-4ubuntu2_riscv64.deb ... Unpacking binutils (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../21-binutils-common_2.42-4ubuntu2_riscv64.deb ... Unpacking binutils-common:riscv64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../22-libsframe1_2.42-4ubuntu2_riscv64.deb ... Unpacking libsframe1:riscv64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../23-libgomp1_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libgomp1:riscv64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../24-libatomic1_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libatomic1:riscv64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../25-libasan8_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libasan8:riscv64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Selecting previously unselected package libubsan1:riscv64. Preparing to unpack .../26-libubsan1_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libubsan1:riscv64 (14-20240429-1ubuntu1) ... Preparing to unpack .../27-g++-13_13.2.0-24ubuntu1_riscv64.deb ... Unpacking g++-13 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../28-gcc-13_13.2.0-24ubuntu1_riscv64.deb ... Unpacking gcc-13 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../29-libstdc++-13-dev_13.2.0-24ubuntu1_riscv64.deb ... Unpacking libstdc++-13-dev:riscv64 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../30-libgcc-13-dev_13.2.0-24ubuntu1_riscv64.deb ... Unpacking libgcc-13-dev:riscv64 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../31-libcc1-0_14-20240429-1ubuntu1_riscv64.deb ... Unpacking libcc1-0:riscv64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../32-cpp-13_13.2.0-24ubuntu1_riscv64.deb ... Unpacking cpp-13 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../33-gcc-13-base_13.2.0-24ubuntu1_riscv64.deb ... Unpacking gcc-13-base:riscv64 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../34-libisl23_0.26-3build1_riscv64.deb ... Unpacking libisl23:riscv64 (0.26-3build1) over (0.26-3) ... Preparing to unpack .../35-libmpfr6_4.2.1-1build1_riscv64.deb ... Unpacking libmpfr6:riscv64 (4.2.1-1build1) over (4.2.1-1) ... Preparing to unpack .../36-libmpc3_1.3.1-1build1_riscv64.deb ... Unpacking libmpc3:riscv64 (1.3.1-1build1) over (1.3.1-1) ... Selecting previously unselected package gcc-13-riscv64-linux-gnu. Preparing to unpack .../37-gcc-13-riscv64-linux-gnu_13.2.0-24ubuntu1_riscv64.deb ... Unpacking gcc-13-riscv64-linux-gnu (13.2.0-24ubuntu1) ... Selecting previously unselected package g++-13-riscv64-linux-gnu. Preparing to unpack .../38-g++-13-riscv64-linux-gnu_13.2.0-24ubuntu1_riscv64.deb ... Unpacking g++-13-riscv64-linux-gnu (13.2.0-24ubuntu1) ... Selecting previously unselected package cpp-13-riscv64-linux-gnu. Preparing to unpack .../39-cpp-13-riscv64-linux-gnu_13.2.0-24ubuntu1_riscv64.deb ... Unpacking cpp-13-riscv64-linux-gnu (13.2.0-24ubuntu1) ... Preparing to unpack .../40-g++_4%3a13.2.0-7ubuntu1_riscv64.deb ... Unpacking g++ (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../41-gcc_4%3a13.2.0-7ubuntu1_riscv64.deb ... Unpacking gcc (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../42-cpp_4%3a13.2.0-7ubuntu1_riscv64.deb ... Unpacking cpp (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Selecting previously unselected package cpp-riscv64-linux-gnu. Preparing to unpack .../43-cpp-riscv64-linux-gnu_4%3a13.2.0-7ubuntu1_riscv64.deb ... Unpacking cpp-riscv64-linux-gnu (4:13.2.0-7ubuntu1) ... Selecting previously unselected package gcc-riscv64-linux-gnu. Preparing to unpack .../44-gcc-riscv64-linux-gnu_4%3a13.2.0-7ubuntu1_riscv64.deb ... Unpacking gcc-riscv64-linux-gnu (4:13.2.0-7ubuntu1) ... Selecting previously unselected package g++-riscv64-linux-gnu. Preparing to unpack .../45-g++-riscv64-linux-gnu_4%3a13.2.0-7ubuntu1_riscv64.deb ... Unpacking g++-riscv64-linux-gnu (4:13.2.0-7ubuntu1) ... Preparing to unpack .../46-dpkg-dev_1.22.6ubuntu10_all.deb ... Unpacking dpkg-dev (1.22.6ubuntu10) over (1.22.0ubuntu1) ... Preparing to unpack .../47-libdpkg-perl_1.22.6ubuntu10_all.deb ... Unpacking libdpkg-perl (1.22.6ubuntu10) over (1.22.0ubuntu1) ... Preparing to unpack .../48-patch_2.7.6-7build3_riscv64.deb ... Unpacking patch (2.7.6-7build3) over (2.7.6-7build2) ... Preparing to unpack .../49-make_4.3-4.1build2_riscv64.deb ... Unpacking make (4.3-4.1build2) over (4.3-4.1build1) ... Preparing to unpack .../50-lto-disabled-list_48_all.deb ... Unpacking lto-disabled-list (48) over (43) ... Preparing to unpack .../51-libfakeroot_1.34-1_riscv64.deb ... Unpacking libfakeroot:riscv64 (1.34-1) over (1.32.1-1) ... Preparing to unpack .../52-fakeroot_1.34-1_riscv64.deb ... Unpacking fakeroot (1.34-1) over (1.32.1-1) ... Preparing to unpack .../53-liblockfile-bin_1.17-1build3_riscv64.deb ... Unpacking liblockfile-bin (1.17-1build3) over (1.17-1build2) ... Preparing to unpack .../54-liblockfile1_1.17-1build3_riscv64.deb ... Unpacking liblockfile1:riscv64 (1.17-1build3) over (1.17-1build2) ... Preparing to unpack .../55-lockfile-progs_0.1.19+nmu1_riscv64.deb ... Unpacking lockfile-progs (0.1.19+nmu1) over (0.1.19build1) ... Setting up libip4tc2:riscv64 (1.8.10-3ubuntu2) ... Setting up libtext-iconv-perl:riscv64 (1.7-8build3) ... Setting up libtext-charwidth-perl:riscv64 (0.04-11build3) ... Setting up libkeyutils1:riscv64 (1.6.3-3build1) ... Setting up lto-disabled-list (48) ... Setting up apt-utils (2.9.2) ... Setting up libgpm2:riscv64 (1.20.7-11) ... Setting up liblockfile-bin (1.17-1build3) ... Setting up libgdbm6t64:riscv64 (1.23-5.1build1) ... Setting up bsdextrautils (2.39.3-9ubuntu6) ... Setting up init (1.66ubuntu1) ... Setting up libgdbm-compat4t64:riscv64 (1.23-5.1build1) ... Setting up psmisc (23.7-1build1) ... Setting up libtirpc-common (1.3.4+ds-1.3) ... Setting up libsqlite3-0:riscv64 (3.45.3-1) ... Setting up binutils-common:riscv64 (2.42-4ubuntu2) ... Setting up linux-libc-dev:riscv64 (6.8.0-31.31) ... Setting up libctf-nobfd0:riscv64 (2.42-4ubuntu2) ... Setting up krb5-locales (1.20.1-6ubuntu2) ... Setting up libgomp1:riscv64 (14-20240429-1ubuntu1) ... Setting up bzip2 (1.0.8-5.1) ... Setting up libsframe1:riscv64 (2.42-4ubuntu2) ... Setting up libfakeroot:riscv64 (1.34-1) ... Setting up libjansson4:riscv64 (2.14-2build2) ... Setting up libkrb5support0:riscv64 (1.20.1-6ubuntu2) ... Setting up tzdata (2024a-4ubuntu1) ... Current default time zone: 'Etc/UTC' Local time is now: Mon May 6 00:16:27 UTC 2024. Universal Time is now: Mon May 6 00:16:27 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up fakeroot (1.34-1) ... Setting up rpcsvc-proto (1.4.2-0ubuntu7) ... Setting up gcc-13-base:riscv64 (13.2.0-24ubuntu1) ... Setting up make (4.3-4.1build2) ... Setting up libmpfr6:riscv64 (4.2.1-1build1) ... Setting up bash-completion (1:2.13.0-1) ... Installing new version of config file /etc/profile.d/bash_completion.sh ... Setting up xz-utils (5.6.1+really5.4.5-1) ... Setting up perl-modules-5.38 (5.38.2-4) ... Setting up libproc2-0:riscv64 (2:4.0.4-4ubuntu3) ... Setting up libpng16-16t64:riscv64 (1.6.43-5build1) ... Setting up libmpc3:riscv64 (1.3.1-1build1) ... Setting up libatomic1:riscv64 (14-20240429-1ubuntu1) ... Setting up patch (2.7.6-7build3) ... Setting up libss2:riscv64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libncursesw6:riscv64 (6.4+20240113-1ubuntu2) ... Setting up libk5crypto3:riscv64 (1.20.1-6ubuntu2) ... Setting up logsave (1.47.0-2.4~exp1ubuntu4) ... Setting up libubsan1:riscv64 (14-20240429-1ubuntu1) ... Setting up advancecomp (2.5-1build1) ... Setting up sensible-utils (0.0.22) ... Setting up uuid-runtime (2.39.3-9ubuntu6) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of restart. Setting up libcrypt-dev:riscv64 (1:4.4.36-4build1) ... Setting up libasan8:riscv64 (14-20240429-1ubuntu1) ... Setting up procps (2:4.0.4-4ubuntu3) ... Installing new version of config file /etc/sysctl.conf ... Setting up mawk (1.3.4.20240123-1build1) ... Setting up libkrb5-3:riscv64 (1.20.1-6ubuntu2) ... Setting up liblockfile1:riscv64 (1.17-1build3) ... Setting up libperl5.38t64:riscv64 (5.38.2-4) ... Setting up libbinutils:riscv64 (2.42-4ubuntu2) ... Setting up libisl23:riscv64 (0.26-3build1) ... Setting up libc-dev-bin (2.39-0ubuntu8.1) ... Setting up openssl (3.0.13-0ubuntu3) ... Setting up libgpg-error-l10n (1.47-3build2) ... Setting up readline-common (8.2-4build1) ... Setting up libcc1-0:riscv64 (14-20240429-1ubuntu1) ... Setting up liblocale-gettext-perl (1.07-6ubuntu5) ... Setting up libctf0:riscv64 (2.42-4ubuntu2) ... Setting up pinentry-curses (1.2.1-3ubuntu5) ... Setting up cpp-13-riscv64-linux-gnu (13.2.0-24ubuntu1) ... Setting up binutils-riscv64-linux-gnu (2.42-4ubuntu2) ... Setting up debconf-i18n (1.5.86ubuntu1) ... Setting up e2fsprogs (1.47.0-2.4~exp1ubuntu4) ... Setting up binutils (2.42-4ubuntu2) ... Setting up ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... rehash: warning: skipping ca-certificates.crt,it does not contain exactly one certificate or CRL 14 added, 5 removed; done. Setting up perl (5.38.2-4) ... Setting up optipng (0.7.8+ds-1build2) ... Setting up lockfile-progs (0.1.19+nmu1) ... Setting up libgssapi-krb5-2:riscv64 (1.20.1-6ubuntu2) ... Setting up libdpkg-perl (1.22.6ubuntu10) ... Setting up libreadline8t64:riscv64 (8.2-4build1) ... Setting up libgcc-13-dev:riscv64 (13.2.0-24ubuntu1) ... Setting up gpgconf (2.4.4-2ubuntu17) ... Setting up libc6-dev:riscv64 (2.39-0ubuntu8.1) ... Setting up cpp-riscv64-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up gpg (2.4.4-2ubuntu17) ... Setting up libstdc++-13-dev:riscv64 (13.2.0-24ubuntu1) ... Setting up gpg-agent (2.4.4-2ubuntu17) ... Setting up cpp-13 (13.2.0-24ubuntu1) ... Setting up libtirpc3t64:riscv64 (1.3.4+ds-1.3) ... Setting up gcc-13-riscv64-linux-gnu (13.2.0-24ubuntu1) ... Setting up dpkg-dev (1.22.6ubuntu10) ... Setting up gcc-riscv64-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up libtirpc-dev:riscv64 (1.3.4+ds-1.3) ... Setting up gcc-13 (13.2.0-24ubuntu1) ... Setting up cpp (4:13.2.0-7ubuntu1) ... Setting up libnsl2:riscv64 (1.3.0-3build3) ... Setting up g++-13-riscv64-linux-gnu (13.2.0-24ubuntu1) ... Setting up g++-13 (13.2.0-24ubuntu1) ... Setting up libnss-nisplus:riscv64 (1.3-5build1) ... Setting up gcc (4:13.2.0-7ubuntu1) ... Setting up g++-riscv64-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up libnss-nis:riscv64 (3.1-0ubuntu7) ... Setting up g++ (4:13.2.0-7ubuntu1) ... Setting up libnsl-dev:riscv64 (1.3.0-3build3) ... Processing triggers for libc-bin (2.39-0ubuntu8.1) ... Processing triggers for debianutils (5.17build1) ... (Reading database ... 15903 files and directories currently installed.) Purging configuration files for libssl3:riscv64 (3.0.10-1ubuntu2) ... Processing triggers for ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-28419948 riscv64 oracular-proposed -c chroot:build-PACKAGEBUILD-28419948 --arch=riscv64 --dist=oracular-proposed --nolog nextpnr_0.7-1.dsc Initiating build PACKAGEBUILD-28419948 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 6.5.0-28-generic #29.1~22.04.1-Ubuntu SMP Wed Apr 10 02:20:48 UTC 2024 riscv64 sbuild (Debian sbuild) 0.81.2ubuntu6 (16 February 2022) on bos03-riscv64-029.buildd +==============================================================================+ | nextpnr 0.7-1 (riscv64) Mon, 06 May 2024 00:18:35 +0000 | +==============================================================================+ Package: nextpnr Version: 0.7-1 Source Version: 0.7-1 Distribution: oracular-proposed Machine Architecture: riscv64 Host Architecture: riscv64 Build Architecture: riscv64 Build Type: any I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-28419948/chroot-autobuild' with '<>' I: NOTICE: Log filtering will replace 'build/nextpnr-P9YPvK/resolver-N6TVkm' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- nextpnr_0.7-1.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/nextpnr-P9YPvK/nextpnr-0.7' with '<>' I: NOTICE: Log filtering will replace 'build/nextpnr-P9YPvK' with '<>' +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: debhelper-compat (= 13), cmake, qtbase5-dev, libboost-filesystem-dev, libboost-thread-dev, libboost-program-options-dev, libboost-python-dev, libboost-iostreams-dev, libqt5opengl5-dev, libeigen3-dev, python3-dev, fpga-icestorm-chipdb, python3-apycula, python3-pytrellis, help2man, build-essential, fakeroot Filtered Build-Depends: debhelper-compat (= 13), cmake, qtbase5-dev, libboost-filesystem-dev, libboost-thread-dev, libboost-program-options-dev, libboost-python-dev, libboost-iostreams-dev, libqt5opengl5-dev, libeigen3-dev, python3-dev, fpga-icestorm-chipdb, python3-apycula, python3-pytrellis, help2man, build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<>/apt_archive/sbuild-build-depends-main-dummy.deb'. Ign:1 copy:/<>/apt_archive ./ InRelease Get:2 copy:/<>/apt_archive ./ Release [957 B] Ign:3 copy:/<>/apt_archive ./ Release.gpg Get:4 copy:/<>/apt_archive ./ Sources [482 B] Get:5 copy:/<>/apt_archive ./ Packages [564 B] Fetched 2003 B in 0s (5860 B/s) Reading package lists... Reading package lists... Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: apt-utils bash-completion ca-certificates debconf-i18n krb5-locales libgpg-error-l10n libgpm2 libip4tc2 libnsl-dev libnsl2 libnss-nis libnss-nisplus libperl5.36 libtext-charwidth-perl libtext-iconv-perl libtext-wrapi18n-perl libtirpc-common libtirpc-dev libtirpc3t64 libunistring2 openssl perl-modules-5.36 psmisc uuid-runtime Use 'apt autoremove' to remove them. The following additional packages will be installed: autoconf automake autopoint autotools-dev cmake cmake-data debhelper debugedit dh-autoreconf dh-strip-nondeterminism dwz file fontconfig fontconfig-config fonts-dejavu-core fonts-dejavu-mono fpga-icestorm-chipdb fpga-trellis-database gettext gettext-base groff-base help2man icu-devtools intltool-debian libarchive-zip-perl libarchive13t64 libavahi-client3 libavahi-common-data libavahi-common3 libboost-atomic1.83-dev libboost-atomic1.83.0 libboost-chrono1.83-dev libboost-chrono1.83.0t64 libboost-date-time1.83-dev libboost-date-time1.83.0 libboost-filesystem-dev libboost-filesystem1.83-dev libboost-filesystem1.83.0 libboost-iostreams-dev libboost-iostreams1.83-dev libboost-iostreams1.83.0 libboost-program-options-dev libboost-program-options1.83-dev libboost-program-options1.83.0 libboost-python-dev libboost-python1.83-dev libboost-python1.83.0 libboost-regex1.83-dev libboost-regex1.83.0 libboost-serialization1.83-dev libboost-serialization1.83.0 libboost-system1.83-dev libboost-system1.83.0 libboost-thread-dev libboost-thread1.83-dev libboost-thread1.83.0 libboost1.83-dev libbrotli1 libbsd0 libcups2t64 libcurl4t64 libdbus-1-3 libdebhelper-perl libdouble-conversion3 libdrm-amdgpu1 libdrm-common libdrm-nouveau2 libdrm-radeon1 libdrm2 libdw1t64 libedit2 libegl-dev libegl-mesa0 libegl1 libeigen3-dev libelf1t64 libevdev2 libexpat1 libexpat1-dev libfile-stripnondeterminism-perl libfontconfig1 libfreetype6 libgbm1 libgl-dev libgl1 libgl1-mesa-dri libglapi-mesa libglib2.0-0t64 libglu1-mesa libglu1-mesa-dev libglvnd0 libglx-dev libglx-mesa0 libglx0 libgraphite2-3 libgudev-1.0-0 libharfbuzz0b libice6 libicu-dev libicu74 libinput-bin libinput10 libjpeg-turbo8 libjpeg8 libjs-jquery libjs-sphinxdoc libjs-underscore libjsoncpp25 libldap2 libllvm17t64 libmagic-mgc libmagic1t64 libmd4c0 libmtdev1t64 libnghttp2-14 libopengl-dev libopengl0 libpcre2-16-0 libpipeline1 libpkgconf3 libpsl5t64 libpthread-stubs0-dev libpython3-dev libpython3-stdlib libpython3.12-dev libpython3.12-minimal libpython3.12-stdlib libpython3.12t64 libqt5concurrent5t64 libqt5core5t64 libqt5dbus5t64 libqt5gui5t64 libqt5network5t64 libqt5opengl5-dev libqt5opengl5t64 libqt5printsupport5t64 libqt5sql5t64 libqt5test5t64 libqt5widgets5t64 libqt5xml5t64 librhash0 librtmp1 libsasl2-2 libsasl2-modules-db libsensors-config libsensors5 libsm6 libssh-4 libsub-override-perl libtool libuchardet0 libuv1t64 libvulkan-dev libvulkan1 libwacom-common libwacom9 libwayland-client0 libwayland-server0 libx11-6 libx11-data libx11-dev libx11-xcb1 libxau-dev libxau6 libxcb-dri2-0 libxcb-dri3-0 libxcb-glx0 libxcb-icccm4 libxcb-image0 libxcb-keysyms1 libxcb-present0 libxcb-randr0 libxcb-render-util0 libxcb-render0 libxcb-shape0 libxcb-shm0 libxcb-sync1 libxcb-util1 libxcb-xfixes0 libxcb-xinerama0 libxcb-xinput0 libxcb-xkb1 libxcb1 libxcb1-dev libxdmcp-dev libxdmcp6 libxext-dev libxext6 libxfixes3 libxkbcommon-x11-0 libxkbcommon0 libxml2 libxrender1 libxshmfence1 libxxf86vm1 m4 man-db media-types netbase pkg-config pkgconf pkgconf-bin po-debconf python3 python3-apycula python3-dev python3-minimal python3-pytrellis python3.12 python3.12-dev python3.12-minimal qt5-qmake qt5-qmake-bin qtbase5-dev qtbase5-dev-tools qtchooser shared-mime-info x11-common x11proto-dev xkb-data xorg-sgml-doctools xtrans-dev zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc cmake-doc cmake-format elpa-cmake-mode ninja-build dh-make gettext-doc libasprintf-dev libgettextpo-dev groff lrzip libboost1.83-doc gccxml libboost-container1.83-dev libboost-context1.83-dev libboost-contract1.83-dev libboost-coroutine1.83-dev libboost-exception1.83-dev libboost-fiber1.83-dev libboost-graph-parallel1.83-dev libboost-graph1.83-dev libboost-json1.83-dev libboost-locale1.83-dev libboost-log1.83-dev libboost-math1.83-dev libboost-mpi-python1.83-dev libboost-mpi1.83-dev libboost-nowide1.83-dev libboost-numpy1.83-dev libboost-random1.83-dev libboost-stacktrace1.83-dev libboost-test1.83-dev libboost-timer1.83-dev libboost-type-erasure1.83-dev libboost-url1.83-dev libboost-wave1.83-dev libboost1.83-tools-dev libmpfrc++-dev libntl-dev cups-common libeigen3-doc low-memory-monitor icu-doc libthai0 qgnomeplatform-qt5 qt5-image-formats-plugins lm-sensors libtool-doc gfortran | fortran95-compiler gcj-jdk libwacom-bin libx11-doc libxcb-doc libxext-doc m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv python3.12-venv python3.12-doc binfmt-support default-libmysqlclient-dev firebird-dev libpq-dev libsqlite3-dev unixodbc-dev Recommended packages: curl | wget | lynx dbus libarchive-cpio-perl libgl1-amber-dri libglib2.0-data xdg-user-dirs javascript-common libldap-common publicsuffix qttranslations5-l10n libqt5svg5 qt5-gtk-platformtheme qtwayland5 libqt5sql5-sqlite | libqt5sql5-mysql | libqt5sql5-odbc | libqt5sql5-psql | libqt5sql5-tds | libqt5sql5-ibase libsasl2-modules libltdl-dev mesa-vulkan-drivers | vulkan-icd libmail-sendmail-perl The following NEW packages will be installed: autoconf automake autopoint autotools-dev cmake cmake-data debhelper debugedit dh-autoreconf dh-strip-nondeterminism dwz file fontconfig fontconfig-config fonts-dejavu-core fonts-dejavu-mono fpga-icestorm-chipdb fpga-trellis-database gettext gettext-base groff-base help2man icu-devtools intltool-debian libarchive-zip-perl libarchive13t64 libavahi-client3 libavahi-common-data libavahi-common3 libboost-atomic1.83-dev libboost-atomic1.83.0 libboost-chrono1.83-dev libboost-chrono1.83.0t64 libboost-date-time1.83-dev libboost-date-time1.83.0 libboost-filesystem-dev libboost-filesystem1.83-dev libboost-filesystem1.83.0 libboost-iostreams-dev libboost-iostreams1.83-dev libboost-iostreams1.83.0 libboost-program-options-dev libboost-program-options1.83-dev libboost-program-options1.83.0 libboost-python-dev libboost-python1.83-dev libboost-python1.83.0 libboost-regex1.83-dev libboost-regex1.83.0 libboost-serialization1.83-dev libboost-serialization1.83.0 libboost-system1.83-dev libboost-system1.83.0 libboost-thread-dev libboost-thread1.83-dev libboost-thread1.83.0 libboost1.83-dev libbrotli1 libbsd0 libcups2t64 libcurl4t64 libdbus-1-3 libdebhelper-perl libdouble-conversion3 libdrm-amdgpu1 libdrm-common libdrm-nouveau2 libdrm-radeon1 libdrm2 libdw1t64 libedit2 libegl-dev libegl-mesa0 libegl1 libeigen3-dev libelf1t64 libevdev2 libexpat1 libexpat1-dev libfile-stripnondeterminism-perl libfontconfig1 libfreetype6 libgbm1 libgl-dev libgl1 libgl1-mesa-dri libglapi-mesa libglib2.0-0t64 libglu1-mesa libglu1-mesa-dev libglvnd0 libglx-dev libglx-mesa0 libglx0 libgraphite2-3 libgudev-1.0-0 libharfbuzz0b libice6 libicu-dev libicu74 libinput-bin libinput10 libjpeg-turbo8 libjpeg8 libjs-jquery libjs-sphinxdoc libjs-underscore libjsoncpp25 libldap2 libllvm17t64 libmagic-mgc libmagic1t64 libmd4c0 libmtdev1t64 libnghttp2-14 libopengl-dev libopengl0 libpcre2-16-0 libpipeline1 libpkgconf3 libpsl5t64 libpthread-stubs0-dev libpython3-dev libpython3-stdlib libpython3.12-dev libpython3.12-minimal libpython3.12-stdlib libpython3.12t64 libqt5concurrent5t64 libqt5core5t64 libqt5dbus5t64 libqt5gui5t64 libqt5network5t64 libqt5opengl5-dev libqt5opengl5t64 libqt5printsupport5t64 libqt5sql5t64 libqt5test5t64 libqt5widgets5t64 libqt5xml5t64 librhash0 librtmp1 libsasl2-2 libsasl2-modules-db libsensors-config libsensors5 libsm6 libssh-4 libsub-override-perl libtool libuchardet0 libuv1t64 libvulkan-dev libvulkan1 libwacom-common libwacom9 libwayland-client0 libwayland-server0 libx11-6 libx11-data libx11-dev libx11-xcb1 libxau-dev libxau6 libxcb-dri2-0 libxcb-dri3-0 libxcb-glx0 libxcb-icccm4 libxcb-image0 libxcb-keysyms1 libxcb-present0 libxcb-randr0 libxcb-render-util0 libxcb-render0 libxcb-shape0 libxcb-shm0 libxcb-sync1 libxcb-util1 libxcb-xfixes0 libxcb-xinerama0 libxcb-xinput0 libxcb-xkb1 libxcb1 libxcb1-dev libxdmcp-dev libxdmcp6 libxext-dev libxext6 libxfixes3 libxkbcommon-x11-0 libxkbcommon0 libxml2 libxrender1 libxshmfence1 libxxf86vm1 m4 man-db media-types netbase pkg-config pkgconf pkgconf-bin po-debconf python3 python3-apycula python3-dev python3-minimal python3-pytrellis python3.12 python3.12-dev python3.12-minimal qt5-qmake qt5-qmake-bin qtbase5-dev qtbase5-dev-tools qtchooser sbuild-build-depends-main-dummy shared-mime-info x11-common x11proto-dev xkb-data xorg-sgml-doctools xtrans-dev zlib1g-dev 0 upgraded, 224 newly installed, 0 to remove and 0 not upgraded. Need to get 181 MB of archives. After this operation, 1116 MB of additional disk space will be used. Get:1 copy:/<>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [778 B] Get:2 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpython3.12-minimal riscv64 3.12.3-1 [829 kB] Get:3 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libexpat1 riscv64 2.6.2-1 [85.9 kB] Get:4 http://ftpmaster.internal/ubuntu oracular/main riscv64 python3.12-minimal riscv64 3.12.3-1 [2343 kB] Get:5 http://ftpmaster.internal/ubuntu oracular/main riscv64 python3-minimal riscv64 3.12.3-0ubuntu1 [27.2 kB] Get:6 http://ftpmaster.internal/ubuntu oracular/main riscv64 media-types all 10.1.0 [27.5 kB] Get:7 http://ftpmaster.internal/ubuntu oracular/main riscv64 netbase all 6.4 [13.1 kB] Get:8 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpython3.12-stdlib riscv64 3.12.3-1 [2024 kB] Get:9 http://ftpmaster.internal/ubuntu oracular/main riscv64 python3.12 riscv64 3.12.3-1 [651 kB] Get:10 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpython3-stdlib riscv64 3.12.3-0ubuntu1 [9896 B] Get:11 http://ftpmaster.internal/ubuntu oracular/main riscv64 python3 riscv64 3.12.3-0ubuntu1 [24.1 kB] Get:12 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libbsd0 riscv64 0.12.2-1 [43.9 kB] Get:13 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdbus-1-3 riscv64 1.14.10-4ubuntu4 [224 kB] Get:14 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libelf1t64 riscv64 0.191-1 [63.4 kB] Get:15 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglib2.0-0t64 riscv64 2.80.0-6ubuntu1 [1397 kB] Get:16 http://ftpmaster.internal/ubuntu oracular/main riscv64 libicu74 riscv64 74.2-1ubuntu3 [10.9 MB] Get:17 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxml2 riscv64 2.9.14+dfsg-1.3ubuntu3 [719 kB] Get:18 http://ftpmaster.internal/ubuntu oracular/main riscv64 shared-mime-info riscv64 2.4-4 [474 kB] Get:19 http://ftpmaster.internal/ubuntu oracular/main riscv64 xkb-data all 2.41-2ubuntu1 [395 kB] Get:20 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmagic-mgc riscv64 1:5.45-3build1 [307 kB] Get:21 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmagic1t64 riscv64 1:5.45-3build1 [96.4 kB] Get:22 http://ftpmaster.internal/ubuntu oracular/main riscv64 file riscv64 1:5.45-3build1 [21.9 kB] Get:23 http://ftpmaster.internal/ubuntu oracular/main riscv64 gettext-base riscv64 0.21-14ubuntu2 [42.6 kB] Get:24 http://ftpmaster.internal/ubuntu oracular/main riscv64 libuchardet0 riscv64 0.0.8-1build1 [77.8 kB] Get:25 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 groff-base riscv64 1.23.0-4 [1031 kB] Get:26 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdrm-common all 2.4.120-2build1 [8096 B] Get:27 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdrm2 riscv64 2.4.120-2build1 [44.5 kB] Get:28 http://ftpmaster.internal/ubuntu oracular/main riscv64 libedit2 riscv64 3.1-20230828-1build1 [96.7 kB] Get:29 http://ftpmaster.internal/ubuntu oracular/main riscv64 libevdev2 riscv64 1.13.1+dfsg-1build1 [33.8 kB] Get:30 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libnghttp2-14 riscv64 1.61.0-1 [86.1 kB] Get:31 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpipeline1 riscv64 1.5.7-2 [30.9 kB] Get:32 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpsl5t64 riscv64 0.21.2-1.1build1 [57.9 kB] Get:33 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsensors-config all 1:3.6.0-9build1 [5546 B] Get:34 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsensors5 riscv64 1:3.6.0-9build1 [28.1 kB] Get:35 http://ftpmaster.internal/ubuntu oracular/main riscv64 libuv1t64 riscv64 1.48.0-1.1build1 [102 kB] Get:36 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxau6 riscv64 1:1.0.9-1build6 [7318 B] Get:37 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxdmcp6 riscv64 1:1.1.3-0ubuntu6 [11.0 kB] Get:38 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb1 riscv64 1.15-1ubuntu2 [52.7 kB] Get:39 http://ftpmaster.internal/ubuntu oracular/main riscv64 libx11-data all 2:1.8.7-1build1 [115 kB] Get:40 http://ftpmaster.internal/ubuntu oracular/main riscv64 libx11-6 riscv64 2:1.8.7-1build1 [677 kB] Get:41 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxext6 riscv64 2:1.3.4-1build2 [33.2 kB] Get:42 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxkbcommon0 riscv64 1.6.0-1build1 [124 kB] Get:43 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 man-db riscv64 2.12.1-1 [1225 kB] Get:44 http://ftpmaster.internal/ubuntu oracular/main riscv64 m4 riscv64 1.4.19-4build1 [264 kB] Get:45 http://ftpmaster.internal/ubuntu oracular/main riscv64 autoconf all 2.71-3 [339 kB] Get:46 http://ftpmaster.internal/ubuntu oracular/main riscv64 autotools-dev all 20220109.1 [44.9 kB] Get:47 http://ftpmaster.internal/ubuntu oracular/main riscv64 automake all 1:1.16.5-1.3ubuntu1 [558 kB] Get:48 http://ftpmaster.internal/ubuntu oracular/main riscv64 autopoint all 0.21-14ubuntu2 [422 kB] Get:49 http://ftpmaster.internal/ubuntu oracular/main riscv64 libarchive13t64 riscv64 3.7.2-2 [398 kB] Get:50 http://ftpmaster.internal/ubuntu oracular/main riscv64 libbrotli1 riscv64 1.1.0-2build2 [389 kB] Get:51 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsasl2-modules-db riscv64 2.1.28+dfsg1-5ubuntu3 [22.2 kB] Get:52 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsasl2-2 riscv64 2.1.28+dfsg1-5ubuntu3 [62.3 kB] Get:53 http://ftpmaster.internal/ubuntu oracular/main riscv64 libldap2 riscv64 2.6.7+dfsg-1~exp1ubuntu8 [207 kB] Get:54 http://ftpmaster.internal/ubuntu oracular/main riscv64 librtmp1 riscv64 2.4+20151223.gitfa8646d.1-2build7 [58.8 kB] Get:55 http://ftpmaster.internal/ubuntu oracular/main riscv64 libssh-4 riscv64 0.10.6-2build2 [202 kB] Get:56 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libcurl4t64 riscv64 8.5.0-2ubuntu10.1 [356 kB] Get:57 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjsoncpp25 riscv64 1.9.5-6build1 [85.9 kB] Get:58 http://ftpmaster.internal/ubuntu oracular/main riscv64 librhash0 riscv64 1.4.3-3build1 [148 kB] Get:59 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 cmake-data all 3.29.2-2 [2193 kB] Get:60 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 cmake riscv64 3.29.2-2 [11.4 MB] Get:61 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdebhelper-perl all 13.14.1ubuntu5 [89.8 kB] Get:62 http://ftpmaster.internal/ubuntu oracular/main riscv64 libtool all 2.4.7-7build1 [166 kB] Get:63 http://ftpmaster.internal/ubuntu oracular/main riscv64 dh-autoreconf all 20 [16.1 kB] Get:64 http://ftpmaster.internal/ubuntu oracular/main riscv64 libarchive-zip-perl all 1.68-1 [90.2 kB] Get:65 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsub-override-perl all 0.10-1 [10.0 kB] Get:66 http://ftpmaster.internal/ubuntu oracular/main riscv64 libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] Get:67 http://ftpmaster.internal/ubuntu oracular/main riscv64 dh-strip-nondeterminism all 1.13.1-1 [5362 B] Get:68 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libdw1t64 riscv64 0.191-1 [280 kB] Get:69 http://ftpmaster.internal/ubuntu oracular/main riscv64 debugedit riscv64 1:5.0-5build2 [49.2 kB] Get:70 http://ftpmaster.internal/ubuntu oracular/main riscv64 dwz riscv64 0.15-1build6 [125 kB] Get:71 http://ftpmaster.internal/ubuntu oracular/main riscv64 gettext riscv64 0.21-14ubuntu2 [875 kB] Get:72 http://ftpmaster.internal/ubuntu oracular/main riscv64 intltool-debian all 0.35.0+20060710.6 [23.2 kB] Get:73 http://ftpmaster.internal/ubuntu oracular/main riscv64 po-debconf all 1.0.21+nmu1 [233 kB] Get:74 http://ftpmaster.internal/ubuntu oracular/main riscv64 debhelper all 13.14.1ubuntu5 [869 kB] Get:75 http://ftpmaster.internal/ubuntu oracular/main riscv64 libfreetype6 riscv64 2.13.2+dfsg-1build3 [430 kB] Get:76 http://ftpmaster.internal/ubuntu oracular/main riscv64 fonts-dejavu-mono all 2.37-8 [502 kB] Get:77 http://ftpmaster.internal/ubuntu oracular/main riscv64 fonts-dejavu-core all 2.37-8 [835 kB] Get:78 http://ftpmaster.internal/ubuntu oracular/main riscv64 fontconfig-config riscv64 2.15.0-1.1ubuntu2 [37.4 kB] Get:79 http://ftpmaster.internal/ubuntu oracular/main riscv64 libfontconfig1 riscv64 2.15.0-1.1ubuntu2 [145 kB] Get:80 http://ftpmaster.internal/ubuntu oracular/main riscv64 fontconfig riscv64 2.15.0-1.1ubuntu2 [190 kB] Get:81 http://ftpmaster.internal/ubuntu oracular/universe riscv64 fpga-icestorm-chipdb all 0~20230218gitd20a5e9-1 [10.3 MB] Get:82 http://ftpmaster.internal/ubuntu oracular/universe riscv64 fpga-trellis-database all 1.4-2build4 [768 kB] Get:83 http://ftpmaster.internal/ubuntu oracular/universe riscv64 help2man riscv64 1.49.3 [200 kB] Get:84 http://ftpmaster.internal/ubuntu oracular/main riscv64 icu-devtools riscv64 74.2-1ubuntu3 [225 kB] Get:85 http://ftpmaster.internal/ubuntu oracular/main riscv64 libavahi-common-data riscv64 0.8-13ubuntu6 [29.7 kB] Get:86 http://ftpmaster.internal/ubuntu oracular/main riscv64 libavahi-common3 riscv64 0.8-13ubuntu6 [24.4 kB] Get:87 http://ftpmaster.internal/ubuntu oracular/main riscv64 libavahi-client3 riscv64 0.8-13ubuntu6 [27.9 kB] Get:88 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost1.83-dev riscv64 1.83.0-2.1ubuntu3 [10.7 MB] Get:89 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-atomic1.83.0 riscv64 1.83.0-2.1ubuntu3 [239 kB] Get:90 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-atomic1.83-dev riscv64 1.83.0-2.1ubuntu3 [242 kB] Get:91 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-chrono1.83.0t64 riscv64 1.83.0-2.1ubuntu3 [246 kB] Get:92 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-chrono1.83-dev riscv64 1.83.0-2.1ubuntu3 [296 kB] Get:93 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-date-time1.83.0 riscv64 1.83.0-2.1ubuntu3 [235 kB] Get:94 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-serialization1.83.0 riscv64 1.83.0-2.1ubuntu3 [351 kB] Get:95 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-serialization1.83-dev riscv64 1.83.0-2.1ubuntu3 [894 kB] Get:96 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-date-time1.83-dev riscv64 1.83.0-2.1ubuntu3 [239 kB] Get:97 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-filesystem1.83.0 riscv64 1.83.0-2.1ubuntu3 [288 kB] Get:98 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-system1.83.0 riscv64 1.83.0-2.1ubuntu3 [236 kB] Get:99 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-system1.83-dev riscv64 1.83.0-2.1ubuntu3 [232 kB] Get:100 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libboost-filesystem1.83-dev riscv64 1.83.0-2.1ubuntu3 [532 kB] Get:101 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libboost-filesystem-dev riscv64 1.83.0.1ubuntu2 [4098 B] Get:102 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-regex1.83.0 riscv64 1.83.0-2.1ubuntu3 [337 kB] Get:103 http://ftpmaster.internal/ubuntu oracular/main riscv64 libicu-dev riscv64 74.2-1ubuntu3 [17.2 MB] Get:104 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-regex1.83-dev riscv64 1.83.0-2.1ubuntu3 [736 kB] Get:105 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-iostreams1.83.0 riscv64 1.83.0-2.1ubuntu3 [260 kB] Get:106 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libboost-iostreams1.83-dev riscv64 1.83.0-2.1ubuntu3 [355 kB] Get:107 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libboost-iostreams-dev riscv64 1.83.0.1ubuntu2 [4050 B] Get:108 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-program-options1.83.0 riscv64 1.83.0-2.1ubuntu3 [363 kB] Get:109 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-program-options1.83-dev riscv64 1.83.0-2.1ubuntu3 [1174 kB] Get:110 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-program-options-dev riscv64 1.83.0.1ubuntu2 [4086 B] Get:111 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-python1.83.0 riscv64 1.83.0-2.1ubuntu3 [316 kB] Get:112 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpython3.12t64 riscv64 3.12.3-1 [2407 kB] Get:113 http://ftpmaster.internal/ubuntu oracular-proposed/main riscv64 libexpat1-dev riscv64 2.6.2-1 [318 kB] Get:114 http://ftpmaster.internal/ubuntu oracular/main riscv64 zlib1g-dev riscv64 1:1.3.dfsg-3.1ubuntu2 [968 kB] Get:115 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpython3.12-dev riscv64 3.12.3-1 [17.2 MB] Get:116 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpython3-dev riscv64 3.12.3-0ubuntu1 [10.2 kB] Get:117 http://ftpmaster.internal/ubuntu oracular/main riscv64 python3.12-dev riscv64 3.12.3-1 [498 kB] Get:118 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [328 kB] Get:119 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [118 kB] Get:120 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjs-sphinxdoc all 7.2.6-6 [149 kB] Get:121 http://ftpmaster.internal/ubuntu oracular/main riscv64 python3-dev riscv64 3.12.3-0ubuntu1 [26.7 kB] Get:122 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libboost-python1.83-dev riscv64 1.83.0-2.1ubuntu3 [755 kB] Get:123 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libboost-python-dev riscv64 1.83.0.1ubuntu2 [4346 B] Get:124 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-thread1.83.0 riscv64 1.83.0-2.1ubuntu3 [277 kB] Get:125 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-thread1.83-dev riscv64 1.83.0-2.1ubuntu3 [419 kB] Get:126 http://ftpmaster.internal/ubuntu oracular/main riscv64 libboost-thread-dev riscv64 1.83.0.1ubuntu2 [4088 B] Get:127 http://ftpmaster.internal/ubuntu oracular/main riscv64 libcups2t64 riscv64 2.4.7-1.2ubuntu7 [287 kB] Get:128 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libdouble-conversion3 riscv64 3.3.0-1build1 [42.2 kB] Get:129 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdrm-amdgpu1 riscv64 2.4.120-2build1 [21.3 kB] Get:130 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdrm-nouveau2 riscv64 2.4.120-2build1 [18.2 kB] Get:131 http://ftpmaster.internal/ubuntu oracular/main riscv64 libdrm-radeon1 riscv64 2.4.120-2build1 [23.2 kB] Get:132 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglvnd0 riscv64 1.7.0-1build1 [98.9 kB] Get:133 http://ftpmaster.internal/ubuntu oracular/main riscv64 libwayland-server0 riscv64 1.22.0-2.1build1 [36.6 kB] Get:134 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-randr0 riscv64 1.15-1ubuntu2 [18.9 kB] Get:135 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgbm1 riscv64 24.0.5-1ubuntu1 [45.9 kB] Get:136 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglapi-mesa riscv64 24.0.5-1ubuntu1 [59.8 kB] Get:137 http://ftpmaster.internal/ubuntu oracular/main riscv64 libwayland-client0 riscv64 1.22.0-2.1build1 [28.3 kB] Get:138 http://ftpmaster.internal/ubuntu oracular/main riscv64 libx11-xcb1 riscv64 2:1.8.7-1build1 [7680 B] Get:139 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-dri2-0 riscv64 1.15-1ubuntu2 [7370 B] Get:140 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-dri3-0 riscv64 1.15-1ubuntu2 [7152 B] Get:141 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-present0 riscv64 1.15-1ubuntu2 [5768 B] Get:142 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-sync1 riscv64 1.15-1ubuntu2 [9642 B] Get:143 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-xfixes0 riscv64 1.15-1ubuntu2 [10.6 kB] Get:144 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxshmfence1 riscv64 1.3-1build5 [4704 B] Get:145 http://ftpmaster.internal/ubuntu oracular/main riscv64 libegl-mesa0 riscv64 24.0.5-1ubuntu1 [122 kB] Get:146 http://ftpmaster.internal/ubuntu oracular/main riscv64 libegl1 riscv64 1.7.0-1build1 [38.5 kB] Get:147 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-glx0 riscv64 1.15-1ubuntu2 [26.1 kB] Get:148 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-shm0 riscv64 1.15-1ubuntu2 [5960 B] Get:149 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxfixes3 riscv64 1:6.0.0-2build1 [11.4 kB] Get:150 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxxf86vm1 riscv64 1:1.1.4-1build4 [10.3 kB] Get:151 http://ftpmaster.internal/ubuntu oracular/main riscv64 libvulkan1 riscv64 1.3.280.0-1 [153 kB] Get:152 http://ftpmaster.internal/ubuntu oracular/main riscv64 libllvm17t64 riscv64 1:17.0.6-9ubuntu1 [25.6 MB] Get:153 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgl1-mesa-dri riscv64 24.0.5-1ubuntu1 [6746 kB] Get:154 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglx-mesa0 riscv64 24.0.5-1ubuntu1 [187 kB] Get:155 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglx0 riscv64 1.7.0-1build1 [32.5 kB] Get:156 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgl1 riscv64 1.7.0-1build1 [138 kB] Get:157 http://ftpmaster.internal/ubuntu oracular/main riscv64 xorg-sgml-doctools all 1:1.11-1.1 [10.9 kB] Get:158 http://ftpmaster.internal/ubuntu oracular/main riscv64 x11proto-dev all 2024.1-1 [606 kB] Get:159 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxau-dev riscv64 1:1.0.9-1build6 [14.2 kB] Get:160 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxdmcp-dev riscv64 1:1.1.3-0ubuntu6 [37.4 kB] Get:161 http://ftpmaster.internal/ubuntu oracular/main riscv64 xtrans-dev all 1.4.0-1 [68.9 kB] Get:162 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpthread-stubs0-dev riscv64 0.4-1build3 [4734 B] Get:163 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb1-dev riscv64 1.15-1ubuntu2 [172 kB] Get:164 http://ftpmaster.internal/ubuntu oracular/main riscv64 libx11-dev riscv64 2:1.8.7-1build1 [1410 kB] Get:165 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglx-dev riscv64 1.7.0-1build1 [14.2 kB] Get:166 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgl-dev riscv64 1.7.0-1build1 [102 kB] Get:167 http://ftpmaster.internal/ubuntu oracular/main riscv64 libegl-dev riscv64 1.7.0-1build1 [18.2 kB] Get:168 http://ftpmaster.internal/ubuntu oracular/main riscv64 libopengl0 riscv64 1.7.0-1build1 [47.0 kB] Get:169 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglu1-mesa riscv64 9.0.2-1.1build1 [199 kB] Get:170 http://ftpmaster.internal/ubuntu oracular/main riscv64 libopengl-dev riscv64 1.7.0-1build1 [3446 B] Get:171 http://ftpmaster.internal/ubuntu oracular/main riscv64 libglu1-mesa-dev riscv64 9.0.2-1.1build1 [663 kB] Get:172 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgraphite2-3 riscv64 1.3.14-2build1 [83.1 kB] Get:173 http://ftpmaster.internal/ubuntu oracular/main riscv64 libgudev-1.0-0 riscv64 1:238-5ubuntu1 [15.7 kB] Get:174 http://ftpmaster.internal/ubuntu oracular/main riscv64 libharfbuzz0b riscv64 8.3.0-2build2 [576 kB] Get:175 http://ftpmaster.internal/ubuntu oracular/main riscv64 x11-common all 1:7.7+23ubuntu3 [21.7 kB] Get:176 http://ftpmaster.internal/ubuntu oracular/main riscv64 libice6 riscv64 2:1.0.10-1build3 [43.0 kB] Get:177 http://ftpmaster.internal/ubuntu oracular/main riscv64 libwacom-common all 2.10.0-2 [63.4 kB] Get:178 http://ftpmaster.internal/ubuntu oracular/main riscv64 libwacom9 riscv64 2.10.0-2 [24.2 kB] Get:179 http://ftpmaster.internal/ubuntu oracular/main riscv64 libinput-bin riscv64 1.25.0-1ubuntu2 [26.8 kB] Get:180 http://ftpmaster.internal/ubuntu oracular/main riscv64 libmtdev1t64 riscv64 1.1.6-1.2 [15.1 kB] Get:181 http://ftpmaster.internal/ubuntu oracular/main riscv64 libinput10 riscv64 1.25.0-1ubuntu2 [139 kB] Get:182 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjpeg-turbo8 riscv64 2.1.5-2ubuntu2 [137 kB] Get:183 http://ftpmaster.internal/ubuntu oracular/main riscv64 libjpeg8 riscv64 8c-2ubuntu11 [2150 B] Get:184 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libmd4c0 riscv64 0.4.8-1build1 [43.7 kB] Get:185 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpcre2-16-0 riscv64 10.42-4ubuntu2 [133 kB] Get:186 http://ftpmaster.internal/ubuntu oracular/main riscv64 libpkgconf3 riscv64 1.8.1-2build1 [31.5 kB] Get:187 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5core5t64 riscv64 5.15.13+dfsg-1ubuntu1 [2155 kB] Get:188 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5concurrent5t64 riscv64 5.15.13+dfsg-1ubuntu1 [35.9 kB] Get:189 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5dbus5t64 riscv64 5.15.13+dfsg-1ubuntu1 [234 kB] Get:190 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5network5t64 riscv64 5.15.13+dfsg-1ubuntu1 [768 kB] Get:191 http://ftpmaster.internal/ubuntu oracular/main riscv64 libsm6 riscv64 2:1.2.3-1build3 [16.5 kB] Get:192 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-icccm4 riscv64 0.4.1-1.1build3 [10.4 kB] Get:193 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-util1 riscv64 0.4.0-1build3 [10.4 kB] Get:194 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-image0 riscv64 0.4.0-2build1 [11.2 kB] Get:195 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-keysyms1 riscv64 0.4.0-1build4 [7920 B] Get:196 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-render0 riscv64 1.15-1ubuntu2 [17.1 kB] Get:197 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-render-util0 riscv64 0.3.9-1build4 [9874 B] Get:198 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-shape0 riscv64 1.15-1ubuntu2 [6210 B] Get:199 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-xinerama0 riscv64 1.15-1ubuntu2 [5448 B] Get:200 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-xinput0 riscv64 1.15-1ubuntu2 [35.7 kB] Get:201 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxcb-xkb1 riscv64 1.15-1ubuntu2 [34.7 kB] Get:202 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxkbcommon-x11-0 riscv64 1.6.0-1build1 [15.6 kB] Get:203 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxrender1 riscv64 1:0.9.10-1.1build1 [20.1 kB] Get:204 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5gui5t64 riscv64 5.15.13+dfsg-1ubuntu1 [3653 kB] Get:205 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5widgets5t64 riscv64 5.15.13+dfsg-1ubuntu1 [2716 kB] Get:206 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5opengl5t64 riscv64 5.15.13+dfsg-1ubuntu1 [155 kB] Get:207 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5printsupport5t64 riscv64 5.15.13+dfsg-1ubuntu1 [214 kB] Get:208 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5sql5t64 riscv64 5.15.13+dfsg-1ubuntu1 [127 kB] Get:209 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5test5t64 riscv64 5.15.13+dfsg-1ubuntu1 [147 kB] Get:210 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5xml5t64 riscv64 5.15.13+dfsg-1ubuntu1 [131 kB] Get:211 http://ftpmaster.internal/ubuntu oracular/main riscv64 libvulkan-dev riscv64 1.3.280.0-1 [1275 kB] Get:212 http://ftpmaster.internal/ubuntu oracular/main riscv64 libxext-dev riscv64 2:1.3.4-1build2 [141 kB] Get:213 http://ftpmaster.internal/ubuntu oracular/universe riscv64 qt5-qmake-bin riscv64 5.15.13+dfsg-1ubuntu1 [1139 kB] Get:214 http://ftpmaster.internal/ubuntu oracular/universe riscv64 qtchooser riscv64 66-2build2 [27.5 kB] Get:215 http://ftpmaster.internal/ubuntu oracular/universe riscv64 qt5-qmake riscv64 5.15.13+dfsg-1ubuntu1 [209 kB] Get:216 http://ftpmaster.internal/ubuntu oracular/universe riscv64 qtbase5-dev-tools riscv64 5.15.13+dfsg-1ubuntu1 [893 kB] Get:217 http://ftpmaster.internal/ubuntu oracular/universe riscv64 qtbase5-dev riscv64 5.15.13+dfsg-1ubuntu1 [1274 kB] Get:218 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libqt5opengl5-dev riscv64 5.15.13+dfsg-1ubuntu1 [42.3 kB] Get:219 http://ftpmaster.internal/ubuntu oracular/main riscv64 pkgconf-bin riscv64 1.8.1-2build1 [21.5 kB] Get:220 http://ftpmaster.internal/ubuntu oracular/main riscv64 pkgconf riscv64 1.8.1-2build1 [16.8 kB] Get:221 http://ftpmaster.internal/ubuntu oracular/main riscv64 pkg-config riscv64 1.8.1-2build1 [7264 B] Get:222 http://ftpmaster.internal/ubuntu oracular/universe riscv64 python3-apycula all 0.12+dfsg1-1 [7098 kB] Get:223 http://ftpmaster.internal/ubuntu oracular/universe riscv64 python3-pytrellis riscv64 1.4-2build4 [1007 kB] Get:224 http://ftpmaster.internal/ubuntu oracular/universe riscv64 libeigen3-dev all 3.4.0-4 [1056 kB] Preconfiguring packages ... Fetched 181 MB in 23s (7957 kB/s) Selecting previously unselected package libpython3.12-minimal:riscv64. (Reading database ... 15903 files and directories currently installed.) Preparing to unpack .../libpython3.12-minimal_3.12.3-1_riscv64.deb ... Unpacking libpython3.12-minimal:riscv64 (3.12.3-1) ... Selecting previously unselected package libexpat1:riscv64. Preparing to unpack .../libexpat1_2.6.2-1_riscv64.deb ... Unpacking libexpat1:riscv64 (2.6.2-1) ... Selecting previously unselected package python3.12-minimal. Preparing to unpack .../python3.12-minimal_3.12.3-1_riscv64.deb ... Unpacking python3.12-minimal (3.12.3-1) ... Setting up libpython3.12-minimal:riscv64 (3.12.3-1) ... Setting up libexpat1:riscv64 (2.6.2-1) ... Setting up python3.12-minimal (3.12.3-1) ... Selecting previously unselected package python3-minimal. (Reading database ... 16221 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.12.3-0ubuntu1_riscv64.deb ... Unpacking python3-minimal (3.12.3-0ubuntu1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.1.0_all.deb ... Unpacking media-types (10.1.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.4_all.deb ... Unpacking netbase (6.4) ... Selecting previously unselected package libpython3.12-stdlib:riscv64. Preparing to unpack .../3-libpython3.12-stdlib_3.12.3-1_riscv64.deb ... Unpacking libpython3.12-stdlib:riscv64 (3.12.3-1) ... Selecting previously unselected package python3.12. Preparing to unpack .../4-python3.12_3.12.3-1_riscv64.deb ... Unpacking python3.12 (3.12.3-1) ... Selecting previously unselected package libpython3-stdlib:riscv64. Preparing to unpack .../5-libpython3-stdlib_3.12.3-0ubuntu1_riscv64.deb ... Unpacking libpython3-stdlib:riscv64 (3.12.3-0ubuntu1) ... Setting up python3-minimal (3.12.3-0ubuntu1) ... Selecting previously unselected package python3. (Reading database ... 16662 files and directories currently installed.) Preparing to unpack .../000-python3_3.12.3-0ubuntu1_riscv64.deb ... Unpacking python3 (3.12.3-0ubuntu1) ... Selecting previously unselected package libbsd0:riscv64. Preparing to unpack .../001-libbsd0_0.12.2-1_riscv64.deb ... Unpacking libbsd0:riscv64 (0.12.2-1) ... Selecting previously unselected package libdbus-1-3:riscv64. Preparing to unpack .../002-libdbus-1-3_1.14.10-4ubuntu4_riscv64.deb ... Unpacking libdbus-1-3:riscv64 (1.14.10-4ubuntu4) ... Selecting previously unselected package libelf1t64:riscv64. Preparing to unpack .../003-libelf1t64_0.191-1_riscv64.deb ... Unpacking libelf1t64:riscv64 (0.191-1) ... Selecting previously unselected package libglib2.0-0t64:riscv64. Preparing to unpack .../004-libglib2.0-0t64_2.80.0-6ubuntu1_riscv64.deb ... Unpacking libglib2.0-0t64:riscv64 (2.80.0-6ubuntu1) ... Selecting previously unselected package libicu74:riscv64. Preparing to unpack .../005-libicu74_74.2-1ubuntu3_riscv64.deb ... Unpacking libicu74:riscv64 (74.2-1ubuntu3) ... Selecting previously unselected package libxml2:riscv64. Preparing to unpack .../006-libxml2_2.9.14+dfsg-1.3ubuntu3_riscv64.deb ... Unpacking libxml2:riscv64 (2.9.14+dfsg-1.3ubuntu3) ... Selecting previously unselected package shared-mime-info. Preparing to unpack .../007-shared-mime-info_2.4-4_riscv64.deb ... Unpacking shared-mime-info (2.4-4) ... Selecting previously unselected package xkb-data. Preparing to unpack .../008-xkb-data_2.41-2ubuntu1_all.deb ... Unpacking xkb-data (2.41-2ubuntu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../009-libmagic-mgc_1%3a5.45-3build1_riscv64.deb ... Unpacking libmagic-mgc (1:5.45-3build1) ... Selecting previously unselected package libmagic1t64:riscv64. Preparing to unpack .../010-libmagic1t64_1%3a5.45-3build1_riscv64.deb ... Unpacking libmagic1t64:riscv64 (1:5.45-3build1) ... Selecting previously unselected package file. Preparing to unpack .../011-file_1%3a5.45-3build1_riscv64.deb ... Unpacking file (1:5.45-3build1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../012-gettext-base_0.21-14ubuntu2_riscv64.deb ... Unpacking gettext-base (0.21-14ubuntu2) ... Selecting previously unselected package libuchardet0:riscv64. Preparing to unpack .../013-libuchardet0_0.0.8-1build1_riscv64.deb ... Unpacking libuchardet0:riscv64 (0.0.8-1build1) ... Selecting previously unselected package groff-base. Preparing to unpack .../014-groff-base_1.23.0-4_riscv64.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package libdrm-common. Preparing to unpack .../015-libdrm-common_2.4.120-2build1_all.deb ... Unpacking libdrm-common (2.4.120-2build1) ... Selecting previously unselected package libdrm2:riscv64. Preparing to unpack .../016-libdrm2_2.4.120-2build1_riscv64.deb ... Unpacking libdrm2:riscv64 (2.4.120-2build1) ... Selecting previously unselected package libedit2:riscv64. Preparing to unpack .../017-libedit2_3.1-20230828-1build1_riscv64.deb ... Unpacking libedit2:riscv64 (3.1-20230828-1build1) ... Selecting previously unselected package libevdev2:riscv64. Preparing to unpack .../018-libevdev2_1.13.1+dfsg-1build1_riscv64.deb ... Unpacking libevdev2:riscv64 (1.13.1+dfsg-1build1) ... Selecting previously unselected package libnghttp2-14:riscv64. Preparing to unpack .../019-libnghttp2-14_1.61.0-1_riscv64.deb ... Unpacking libnghttp2-14:riscv64 (1.61.0-1) ... Selecting previously unselected package libpipeline1:riscv64. Preparing to unpack .../020-libpipeline1_1.5.7-2_riscv64.deb ... Unpacking libpipeline1:riscv64 (1.5.7-2) ... Selecting previously unselected package libpsl5t64:riscv64. Preparing to unpack .../021-libpsl5t64_0.21.2-1.1build1_riscv64.deb ... Unpacking libpsl5t64:riscv64 (0.21.2-1.1build1) ... Selecting previously unselected package libsensors-config. Preparing to unpack .../022-libsensors-config_1%3a3.6.0-9build1_all.deb ... Unpacking libsensors-config (1:3.6.0-9build1) ... Selecting previously unselected package libsensors5:riscv64. Preparing to unpack .../023-libsensors5_1%3a3.6.0-9build1_riscv64.deb ... Unpacking libsensors5:riscv64 (1:3.6.0-9build1) ... Selecting previously unselected package libuv1t64:riscv64. Preparing to unpack .../024-libuv1t64_1.48.0-1.1build1_riscv64.deb ... Unpacking libuv1t64:riscv64 (1.48.0-1.1build1) ... Selecting previously unselected package libxau6:riscv64. Preparing to unpack .../025-libxau6_1%3a1.0.9-1build6_riscv64.deb ... Unpacking libxau6:riscv64 (1:1.0.9-1build6) ... Selecting previously unselected package libxdmcp6:riscv64. Preparing to unpack .../026-libxdmcp6_1%3a1.1.3-0ubuntu6_riscv64.deb ... Unpacking libxdmcp6:riscv64 (1:1.1.3-0ubuntu6) ... Selecting previously unselected package libxcb1:riscv64. Preparing to unpack .../027-libxcb1_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb1:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libx11-data. Preparing to unpack .../028-libx11-data_2%3a1.8.7-1build1_all.deb ... Unpacking libx11-data (2:1.8.7-1build1) ... Selecting previously unselected package libx11-6:riscv64. Preparing to unpack .../029-libx11-6_2%3a1.8.7-1build1_riscv64.deb ... Unpacking libx11-6:riscv64 (2:1.8.7-1build1) ... Selecting previously unselected package libxext6:riscv64. Preparing to unpack .../030-libxext6_2%3a1.3.4-1build2_riscv64.deb ... Unpacking libxext6:riscv64 (2:1.3.4-1build2) ... Selecting previously unselected package libxkbcommon0:riscv64. Preparing to unpack .../031-libxkbcommon0_1.6.0-1build1_riscv64.deb ... Unpacking libxkbcommon0:riscv64 (1.6.0-1build1) ... Selecting previously unselected package man-db. Preparing to unpack .../032-man-db_2.12.1-1_riscv64.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package m4. Preparing to unpack .../033-m4_1.4.19-4build1_riscv64.deb ... Unpacking m4 (1.4.19-4build1) ... Selecting previously unselected package autoconf. Preparing to unpack .../034-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../035-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../036-automake_1%3a1.16.5-1.3ubuntu1_all.deb ... Unpacking automake (1:1.16.5-1.3ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../037-autopoint_0.21-14ubuntu2_all.deb ... Unpacking autopoint (0.21-14ubuntu2) ... Selecting previously unselected package libarchive13t64:riscv64. Preparing to unpack .../038-libarchive13t64_3.7.2-2_riscv64.deb ... Unpacking libarchive13t64:riscv64 (3.7.2-2) ... Selecting previously unselected package libbrotli1:riscv64. Preparing to unpack .../039-libbrotli1_1.1.0-2build2_riscv64.deb ... Unpacking libbrotli1:riscv64 (1.1.0-2build2) ... Selecting previously unselected package libsasl2-modules-db:riscv64. Preparing to unpack .../040-libsasl2-modules-db_2.1.28+dfsg1-5ubuntu3_riscv64.deb ... Unpacking libsasl2-modules-db:riscv64 (2.1.28+dfsg1-5ubuntu3) ... Selecting previously unselected package libsasl2-2:riscv64. Preparing to unpack .../041-libsasl2-2_2.1.28+dfsg1-5ubuntu3_riscv64.deb ... Unpacking libsasl2-2:riscv64 (2.1.28+dfsg1-5ubuntu3) ... Selecting previously unselected package libldap2:riscv64. Preparing to unpack .../042-libldap2_2.6.7+dfsg-1~exp1ubuntu8_riscv64.deb ... Unpacking libldap2:riscv64 (2.6.7+dfsg-1~exp1ubuntu8) ... Selecting previously unselected package librtmp1:riscv64. Preparing to unpack .../043-librtmp1_2.4+20151223.gitfa8646d.1-2build7_riscv64.deb ... Unpacking librtmp1:riscv64 (2.4+20151223.gitfa8646d.1-2build7) ... Selecting previously unselected package libssh-4:riscv64. Preparing to unpack .../044-libssh-4_0.10.6-2build2_riscv64.deb ... Unpacking libssh-4:riscv64 (0.10.6-2build2) ... Selecting previously unselected package libcurl4t64:riscv64. Preparing to unpack .../045-libcurl4t64_8.5.0-2ubuntu10.1_riscv64.deb ... Unpacking libcurl4t64:riscv64 (8.5.0-2ubuntu10.1) ... Selecting previously unselected package libjsoncpp25:riscv64. Preparing to unpack .../046-libjsoncpp25_1.9.5-6build1_riscv64.deb ... Unpacking libjsoncpp25:riscv64 (1.9.5-6build1) ... Selecting previously unselected package librhash0:riscv64. Preparing to unpack .../047-librhash0_1.4.3-3build1_riscv64.deb ... Unpacking librhash0:riscv64 (1.4.3-3build1) ... Selecting previously unselected package cmake-data. Preparing to unpack .../048-cmake-data_3.29.2-2_all.deb ... Unpacking cmake-data (3.29.2-2) ... Selecting previously unselected package cmake. Preparing to unpack .../049-cmake_3.29.2-2_riscv64.deb ... Unpacking cmake (3.29.2-2) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../050-libdebhelper-perl_13.14.1ubuntu5_all.deb ... Unpacking libdebhelper-perl (13.14.1ubuntu5) ... Selecting previously unselected package libtool. Preparing to unpack .../051-libtool_2.4.7-7build1_all.deb ... Unpacking libtool (2.4.7-7build1) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../052-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../053-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../054-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../055-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../056-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libdw1t64:riscv64. Preparing to unpack .../057-libdw1t64_0.191-1_riscv64.deb ... Unpacking libdw1t64:riscv64 (0.191-1) ... Selecting previously unselected package debugedit. Preparing to unpack .../058-debugedit_1%3a5.0-5build2_riscv64.deb ... Unpacking debugedit (1:5.0-5build2) ... Selecting previously unselected package dwz. Preparing to unpack .../059-dwz_0.15-1build6_riscv64.deb ... Unpacking dwz (0.15-1build6) ... Selecting previously unselected package gettext. Preparing to unpack .../060-gettext_0.21-14ubuntu2_riscv64.deb ... Unpacking gettext (0.21-14ubuntu2) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../061-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../062-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../063-debhelper_13.14.1ubuntu5_all.deb ... Unpacking debhelper (13.14.1ubuntu5) ... Selecting previously unselected package libfreetype6:riscv64. Preparing to unpack .../064-libfreetype6_2.13.2+dfsg-1build3_riscv64.deb ... Unpacking libfreetype6:riscv64 (2.13.2+dfsg-1build3) ... Selecting previously unselected package fonts-dejavu-mono. Preparing to unpack .../065-fonts-dejavu-mono_2.37-8_all.deb ... Unpacking fonts-dejavu-mono (2.37-8) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../066-fonts-dejavu-core_2.37-8_all.deb ... Unpacking fonts-dejavu-core (2.37-8) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../067-fontconfig-config_2.15.0-1.1ubuntu2_riscv64.deb ... Unpacking fontconfig-config (2.15.0-1.1ubuntu2) ... Selecting previously unselected package libfontconfig1:riscv64. Preparing to unpack .../068-libfontconfig1_2.15.0-1.1ubuntu2_riscv64.deb ... Unpacking libfontconfig1:riscv64 (2.15.0-1.1ubuntu2) ... Selecting previously unselected package fontconfig. Preparing to unpack .../069-fontconfig_2.15.0-1.1ubuntu2_riscv64.deb ... Unpacking fontconfig (2.15.0-1.1ubuntu2) ... Selecting previously unselected package fpga-icestorm-chipdb. Preparing to unpack .../070-fpga-icestorm-chipdb_0~20230218gitd20a5e9-1_all.deb ... Unpacking fpga-icestorm-chipdb (0~20230218gitd20a5e9-1) ... Selecting previously unselected package fpga-trellis-database. Preparing to unpack .../071-fpga-trellis-database_1.4-2build4_all.deb ... Unpacking fpga-trellis-database (1.4-2build4) ... Selecting previously unselected package help2man. Preparing to unpack .../072-help2man_1.49.3_riscv64.deb ... Unpacking help2man (1.49.3) ... Selecting previously unselected package icu-devtools. Preparing to unpack .../073-icu-devtools_74.2-1ubuntu3_riscv64.deb ... Unpacking icu-devtools (74.2-1ubuntu3) ... Selecting previously unselected package libavahi-common-data:riscv64. Preparing to unpack .../074-libavahi-common-data_0.8-13ubuntu6_riscv64.deb ... Unpacking libavahi-common-data:riscv64 (0.8-13ubuntu6) ... Selecting previously unselected package libavahi-common3:riscv64. Preparing to unpack .../075-libavahi-common3_0.8-13ubuntu6_riscv64.deb ... Unpacking libavahi-common3:riscv64 (0.8-13ubuntu6) ... Selecting previously unselected package libavahi-client3:riscv64. Preparing to unpack .../076-libavahi-client3_0.8-13ubuntu6_riscv64.deb ... Unpacking libavahi-client3:riscv64 (0.8-13ubuntu6) ... Selecting previously unselected package libboost1.83-dev:riscv64. Preparing to unpack .../077-libboost1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-atomic1.83.0:riscv64. Preparing to unpack .../078-libboost-atomic1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-atomic1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-atomic1.83-dev:riscv64. Preparing to unpack .../079-libboost-atomic1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-atomic1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-chrono1.83.0t64:riscv64. Preparing to unpack .../080-libboost-chrono1.83.0t64_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-chrono1.83.0t64:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-chrono1.83-dev:riscv64. Preparing to unpack .../081-libboost-chrono1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-chrono1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-date-time1.83.0:riscv64. Preparing to unpack .../082-libboost-date-time1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-date-time1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-serialization1.83.0:riscv64. Preparing to unpack .../083-libboost-serialization1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-serialization1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-serialization1.83-dev:riscv64. Preparing to unpack .../084-libboost-serialization1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-serialization1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-date-time1.83-dev:riscv64. Preparing to unpack .../085-libboost-date-time1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-date-time1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-filesystem1.83.0:riscv64. Preparing to unpack .../086-libboost-filesystem1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-filesystem1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-system1.83.0:riscv64. Preparing to unpack .../087-libboost-system1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-system1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-system1.83-dev:riscv64. Preparing to unpack .../088-libboost-system1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-system1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-filesystem1.83-dev:riscv64. Preparing to unpack .../089-libboost-filesystem1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-filesystem1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-filesystem-dev:riscv64. Preparing to unpack .../090-libboost-filesystem-dev_1.83.0.1ubuntu2_riscv64.deb ... Unpacking libboost-filesystem-dev:riscv64 (1.83.0.1ubuntu2) ... Selecting previously unselected package libboost-regex1.83.0:riscv64. Preparing to unpack .../091-libboost-regex1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-regex1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libicu-dev:riscv64. Preparing to unpack .../092-libicu-dev_74.2-1ubuntu3_riscv64.deb ... Unpacking libicu-dev:riscv64 (74.2-1ubuntu3) ... Selecting previously unselected package libboost-regex1.83-dev:riscv64. Preparing to unpack .../093-libboost-regex1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-regex1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-iostreams1.83.0:riscv64. Preparing to unpack .../094-libboost-iostreams1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-iostreams1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-iostreams1.83-dev:riscv64. Preparing to unpack .../095-libboost-iostreams1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-iostreams1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-iostreams-dev:riscv64. Preparing to unpack .../096-libboost-iostreams-dev_1.83.0.1ubuntu2_riscv64.deb ... Unpacking libboost-iostreams-dev:riscv64 (1.83.0.1ubuntu2) ... Selecting previously unselected package libboost-program-options1.83.0:riscv64. Preparing to unpack .../097-libboost-program-options1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-program-options1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-program-options1.83-dev:riscv64. Preparing to unpack .../098-libboost-program-options1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-program-options1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-program-options-dev:riscv64. Preparing to unpack .../099-libboost-program-options-dev_1.83.0.1ubuntu2_riscv64.deb ... Unpacking libboost-program-options-dev:riscv64 (1.83.0.1ubuntu2) ... Selecting previously unselected package libboost-python1.83.0. Preparing to unpack .../100-libboost-python1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-python1.83.0 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libpython3.12t64:riscv64. Preparing to unpack .../101-libpython3.12t64_3.12.3-1_riscv64.deb ... Unpacking libpython3.12t64:riscv64 (3.12.3-1) ... Selecting previously unselected package libexpat1-dev:riscv64. Preparing to unpack .../102-libexpat1-dev_2.6.2-1_riscv64.deb ... Unpacking libexpat1-dev:riscv64 (2.6.2-1) ... Selecting previously unselected package zlib1g-dev:riscv64. Preparing to unpack .../103-zlib1g-dev_1%3a1.3.dfsg-3.1ubuntu2_riscv64.deb ... Unpacking zlib1g-dev:riscv64 (1:1.3.dfsg-3.1ubuntu2) ... Selecting previously unselected package libpython3.12-dev:riscv64. Preparing to unpack .../104-libpython3.12-dev_3.12.3-1_riscv64.deb ... Unpacking libpython3.12-dev:riscv64 (3.12.3-1) ... Selecting previously unselected package libpython3-dev:riscv64. Preparing to unpack .../105-libpython3-dev_3.12.3-0ubuntu1_riscv64.deb ... Unpacking libpython3-dev:riscv64 (3.12.3-0ubuntu1) ... Selecting previously unselected package python3.12-dev. Preparing to unpack .../106-python3.12-dev_3.12.3-1_riscv64.deb ... Unpacking python3.12-dev (3.12.3-1) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../107-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../108-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../109-libjs-sphinxdoc_7.2.6-6_all.deb ... Unpacking libjs-sphinxdoc (7.2.6-6) ... Selecting previously unselected package python3-dev. Preparing to unpack .../110-python3-dev_3.12.3-0ubuntu1_riscv64.deb ... Unpacking python3-dev (3.12.3-0ubuntu1) ... Selecting previously unselected package libboost-python1.83-dev. Preparing to unpack .../111-libboost-python1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-python1.83-dev (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-python-dev. Preparing to unpack .../112-libboost-python-dev_1.83.0.1ubuntu2_riscv64.deb ... Unpacking libboost-python-dev (1.83.0.1ubuntu2) ... Selecting previously unselected package libboost-thread1.83.0:riscv64. Preparing to unpack .../113-libboost-thread1.83.0_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-thread1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-thread1.83-dev:riscv64. Preparing to unpack .../114-libboost-thread1.83-dev_1.83.0-2.1ubuntu3_riscv64.deb ... Unpacking libboost-thread1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Selecting previously unselected package libboost-thread-dev:riscv64. Preparing to unpack .../115-libboost-thread-dev_1.83.0.1ubuntu2_riscv64.deb ... Unpacking libboost-thread-dev:riscv64 (1.83.0.1ubuntu2) ... Selecting previously unselected package libcups2t64:riscv64. Preparing to unpack .../116-libcups2t64_2.4.7-1.2ubuntu7_riscv64.deb ... Unpacking libcups2t64:riscv64 (2.4.7-1.2ubuntu7) ... Selecting previously unselected package libdouble-conversion3:riscv64. Preparing to unpack .../117-libdouble-conversion3_3.3.0-1build1_riscv64.deb ... Unpacking libdouble-conversion3:riscv64 (3.3.0-1build1) ... Selecting previously unselected package libdrm-amdgpu1:riscv64. Preparing to unpack .../118-libdrm-amdgpu1_2.4.120-2build1_riscv64.deb ... Unpacking libdrm-amdgpu1:riscv64 (2.4.120-2build1) ... Selecting previously unselected package libdrm-nouveau2:riscv64. Preparing to unpack .../119-libdrm-nouveau2_2.4.120-2build1_riscv64.deb ... Unpacking libdrm-nouveau2:riscv64 (2.4.120-2build1) ... Selecting previously unselected package libdrm-radeon1:riscv64. Preparing to unpack .../120-libdrm-radeon1_2.4.120-2build1_riscv64.deb ... Unpacking libdrm-radeon1:riscv64 (2.4.120-2build1) ... Selecting previously unselected package libglvnd0:riscv64. Preparing to unpack .../121-libglvnd0_1.7.0-1build1_riscv64.deb ... Unpacking libglvnd0:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libwayland-server0:riscv64. Preparing to unpack .../122-libwayland-server0_1.22.0-2.1build1_riscv64.deb ... Unpacking libwayland-server0:riscv64 (1.22.0-2.1build1) ... Selecting previously unselected package libxcb-randr0:riscv64. Preparing to unpack .../123-libxcb-randr0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-randr0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libgbm1:riscv64. Preparing to unpack .../124-libgbm1_24.0.5-1ubuntu1_riscv64.deb ... Unpacking libgbm1:riscv64 (24.0.5-1ubuntu1) ... Selecting previously unselected package libglapi-mesa:riscv64. Preparing to unpack .../125-libglapi-mesa_24.0.5-1ubuntu1_riscv64.deb ... Unpacking libglapi-mesa:riscv64 (24.0.5-1ubuntu1) ... Selecting previously unselected package libwayland-client0:riscv64. Preparing to unpack .../126-libwayland-client0_1.22.0-2.1build1_riscv64.deb ... Unpacking libwayland-client0:riscv64 (1.22.0-2.1build1) ... Selecting previously unselected package libx11-xcb1:riscv64. Preparing to unpack .../127-libx11-xcb1_2%3a1.8.7-1build1_riscv64.deb ... Unpacking libx11-xcb1:riscv64 (2:1.8.7-1build1) ... Selecting previously unselected package libxcb-dri2-0:riscv64. Preparing to unpack .../128-libxcb-dri2-0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-dri2-0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-dri3-0:riscv64. Preparing to unpack .../129-libxcb-dri3-0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-dri3-0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-present0:riscv64. Preparing to unpack .../130-libxcb-present0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-present0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-sync1:riscv64. Preparing to unpack .../131-libxcb-sync1_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-sync1:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-xfixes0:riscv64. Preparing to unpack .../132-libxcb-xfixes0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-xfixes0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxshmfence1:riscv64. Preparing to unpack .../133-libxshmfence1_1.3-1build5_riscv64.deb ... Unpacking libxshmfence1:riscv64 (1.3-1build5) ... Selecting previously unselected package libegl-mesa0:riscv64. Preparing to unpack .../134-libegl-mesa0_24.0.5-1ubuntu1_riscv64.deb ... Unpacking libegl-mesa0:riscv64 (24.0.5-1ubuntu1) ... Selecting previously unselected package libegl1:riscv64. Preparing to unpack .../135-libegl1_1.7.0-1build1_riscv64.deb ... Unpacking libegl1:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libxcb-glx0:riscv64. Preparing to unpack .../136-libxcb-glx0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-glx0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-shm0:riscv64. Preparing to unpack .../137-libxcb-shm0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-shm0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxfixes3:riscv64. Preparing to unpack .../138-libxfixes3_1%3a6.0.0-2build1_riscv64.deb ... Unpacking libxfixes3:riscv64 (1:6.0.0-2build1) ... Selecting previously unselected package libxxf86vm1:riscv64. Preparing to unpack .../139-libxxf86vm1_1%3a1.1.4-1build4_riscv64.deb ... Unpacking libxxf86vm1:riscv64 (1:1.1.4-1build4) ... Selecting previously unselected package libvulkan1:riscv64. Preparing to unpack .../140-libvulkan1_1.3.280.0-1_riscv64.deb ... Unpacking libvulkan1:riscv64 (1.3.280.0-1) ... Selecting previously unselected package libllvm17t64:riscv64. Preparing to unpack .../141-libllvm17t64_1%3a17.0.6-9ubuntu1_riscv64.deb ... Unpacking libllvm17t64:riscv64 (1:17.0.6-9ubuntu1) ... Selecting previously unselected package libgl1-mesa-dri:riscv64. Preparing to unpack .../142-libgl1-mesa-dri_24.0.5-1ubuntu1_riscv64.deb ... Unpacking libgl1-mesa-dri:riscv64 (24.0.5-1ubuntu1) ... Selecting previously unselected package libglx-mesa0:riscv64. Preparing to unpack .../143-libglx-mesa0_24.0.5-1ubuntu1_riscv64.deb ... Unpacking libglx-mesa0:riscv64 (24.0.5-1ubuntu1) ... Selecting previously unselected package libglx0:riscv64. Preparing to unpack .../144-libglx0_1.7.0-1build1_riscv64.deb ... Unpacking libglx0:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libgl1:riscv64. Preparing to unpack .../145-libgl1_1.7.0-1build1_riscv64.deb ... Unpacking libgl1:riscv64 (1.7.0-1build1) ... Selecting previously unselected package xorg-sgml-doctools. Preparing to unpack .../146-xorg-sgml-doctools_1%3a1.11-1.1_all.deb ... Unpacking xorg-sgml-doctools (1:1.11-1.1) ... Selecting previously unselected package x11proto-dev. Preparing to unpack .../147-x11proto-dev_2024.1-1_all.deb ... Unpacking x11proto-dev (2024.1-1) ... Selecting previously unselected package libxau-dev:riscv64. Preparing to unpack .../148-libxau-dev_1%3a1.0.9-1build6_riscv64.deb ... Unpacking libxau-dev:riscv64 (1:1.0.9-1build6) ... Selecting previously unselected package libxdmcp-dev:riscv64. Preparing to unpack .../149-libxdmcp-dev_1%3a1.1.3-0ubuntu6_riscv64.deb ... Unpacking libxdmcp-dev:riscv64 (1:1.1.3-0ubuntu6) ... Selecting previously unselected package xtrans-dev. Preparing to unpack .../150-xtrans-dev_1.4.0-1_all.deb ... Unpacking xtrans-dev (1.4.0-1) ... Selecting previously unselected package libpthread-stubs0-dev:riscv64. Preparing to unpack .../151-libpthread-stubs0-dev_0.4-1build3_riscv64.deb ... Unpacking libpthread-stubs0-dev:riscv64 (0.4-1build3) ... Selecting previously unselected package libxcb1-dev:riscv64. Preparing to unpack .../152-libxcb1-dev_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb1-dev:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libx11-dev:riscv64. Preparing to unpack .../153-libx11-dev_2%3a1.8.7-1build1_riscv64.deb ... Unpacking libx11-dev:riscv64 (2:1.8.7-1build1) ... Selecting previously unselected package libglx-dev:riscv64. Preparing to unpack .../154-libglx-dev_1.7.0-1build1_riscv64.deb ... Unpacking libglx-dev:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libgl-dev:riscv64. Preparing to unpack .../155-libgl-dev_1.7.0-1build1_riscv64.deb ... Unpacking libgl-dev:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libegl-dev:riscv64. Preparing to unpack .../156-libegl-dev_1.7.0-1build1_riscv64.deb ... Unpacking libegl-dev:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libopengl0:riscv64. Preparing to unpack .../157-libopengl0_1.7.0-1build1_riscv64.deb ... Unpacking libopengl0:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libglu1-mesa:riscv64. Preparing to unpack .../158-libglu1-mesa_9.0.2-1.1build1_riscv64.deb ... Unpacking libglu1-mesa:riscv64 (9.0.2-1.1build1) ... Selecting previously unselected package libopengl-dev:riscv64. Preparing to unpack .../159-libopengl-dev_1.7.0-1build1_riscv64.deb ... Unpacking libopengl-dev:riscv64 (1.7.0-1build1) ... Selecting previously unselected package libglu1-mesa-dev:riscv64. Preparing to unpack .../160-libglu1-mesa-dev_9.0.2-1.1build1_riscv64.deb ... Unpacking libglu1-mesa-dev:riscv64 (9.0.2-1.1build1) ... Selecting previously unselected package libgraphite2-3:riscv64. Preparing to unpack .../161-libgraphite2-3_1.3.14-2build1_riscv64.deb ... Unpacking libgraphite2-3:riscv64 (1.3.14-2build1) ... Selecting previously unselected package libgudev-1.0-0:riscv64. Preparing to unpack .../162-libgudev-1.0-0_1%3a238-5ubuntu1_riscv64.deb ... Unpacking libgudev-1.0-0:riscv64 (1:238-5ubuntu1) ... Selecting previously unselected package libharfbuzz0b:riscv64. Preparing to unpack .../163-libharfbuzz0b_8.3.0-2build2_riscv64.deb ... Unpacking libharfbuzz0b:riscv64 (8.3.0-2build2) ... Selecting previously unselected package x11-common. Preparing to unpack .../164-x11-common_1%3a7.7+23ubuntu3_all.deb ... Unpacking x11-common (1:7.7+23ubuntu3) ... Selecting previously unselected package libice6:riscv64. Preparing to unpack .../165-libice6_2%3a1.0.10-1build3_riscv64.deb ... Unpacking libice6:riscv64 (2:1.0.10-1build3) ... Selecting previously unselected package libwacom-common. Preparing to unpack .../166-libwacom-common_2.10.0-2_all.deb ... Unpacking libwacom-common (2.10.0-2) ... Selecting previously unselected package libwacom9:riscv64. Preparing to unpack .../167-libwacom9_2.10.0-2_riscv64.deb ... Unpacking libwacom9:riscv64 (2.10.0-2) ... Selecting previously unselected package libinput-bin. Preparing to unpack .../168-libinput-bin_1.25.0-1ubuntu2_riscv64.deb ... Unpacking libinput-bin (1.25.0-1ubuntu2) ... Selecting previously unselected package libmtdev1t64:riscv64. Preparing to unpack .../169-libmtdev1t64_1.1.6-1.2_riscv64.deb ... Unpacking libmtdev1t64:riscv64 (1.1.6-1.2) ... Selecting previously unselected package libinput10:riscv64. Preparing to unpack .../170-libinput10_1.25.0-1ubuntu2_riscv64.deb ... Unpacking libinput10:riscv64 (1.25.0-1ubuntu2) ... Selecting previously unselected package libjpeg-turbo8:riscv64. Preparing to unpack .../171-libjpeg-turbo8_2.1.5-2ubuntu2_riscv64.deb ... Unpacking libjpeg-turbo8:riscv64 (2.1.5-2ubuntu2) ... Selecting previously unselected package libjpeg8:riscv64. Preparing to unpack .../172-libjpeg8_8c-2ubuntu11_riscv64.deb ... Unpacking libjpeg8:riscv64 (8c-2ubuntu11) ... Selecting previously unselected package libmd4c0:riscv64. Preparing to unpack .../173-libmd4c0_0.4.8-1build1_riscv64.deb ... Unpacking libmd4c0:riscv64 (0.4.8-1build1) ... Selecting previously unselected package libpcre2-16-0:riscv64. Preparing to unpack .../174-libpcre2-16-0_10.42-4ubuntu2_riscv64.deb ... Unpacking libpcre2-16-0:riscv64 (10.42-4ubuntu2) ... Selecting previously unselected package libpkgconf3:riscv64. Preparing to unpack .../175-libpkgconf3_1.8.1-2build1_riscv64.deb ... Unpacking libpkgconf3:riscv64 (1.8.1-2build1) ... Selecting previously unselected package libqt5core5t64:riscv64. Preparing to unpack .../176-libqt5core5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5core5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5concurrent5t64:riscv64. Preparing to unpack .../177-libqt5concurrent5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5concurrent5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5dbus5t64:riscv64. Preparing to unpack .../178-libqt5dbus5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5dbus5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5network5t64:riscv64. Preparing to unpack .../179-libqt5network5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5network5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libsm6:riscv64. Preparing to unpack .../180-libsm6_2%3a1.2.3-1build3_riscv64.deb ... Unpacking libsm6:riscv64 (2:1.2.3-1build3) ... Selecting previously unselected package libxcb-icccm4:riscv64. Preparing to unpack .../181-libxcb-icccm4_0.4.1-1.1build3_riscv64.deb ... Unpacking libxcb-icccm4:riscv64 (0.4.1-1.1build3) ... Selecting previously unselected package libxcb-util1:riscv64. Preparing to unpack .../182-libxcb-util1_0.4.0-1build3_riscv64.deb ... Unpacking libxcb-util1:riscv64 (0.4.0-1build3) ... Selecting previously unselected package libxcb-image0:riscv64. Preparing to unpack .../183-libxcb-image0_0.4.0-2build1_riscv64.deb ... Unpacking libxcb-image0:riscv64 (0.4.0-2build1) ... Selecting previously unselected package libxcb-keysyms1:riscv64. Preparing to unpack .../184-libxcb-keysyms1_0.4.0-1build4_riscv64.deb ... Unpacking libxcb-keysyms1:riscv64 (0.4.0-1build4) ... Selecting previously unselected package libxcb-render0:riscv64. Preparing to unpack .../185-libxcb-render0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-render0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-render-util0:riscv64. Preparing to unpack .../186-libxcb-render-util0_0.3.9-1build4_riscv64.deb ... Unpacking libxcb-render-util0:riscv64 (0.3.9-1build4) ... Selecting previously unselected package libxcb-shape0:riscv64. Preparing to unpack .../187-libxcb-shape0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-shape0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-xinerama0:riscv64. Preparing to unpack .../188-libxcb-xinerama0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-xinerama0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-xinput0:riscv64. Preparing to unpack .../189-libxcb-xinput0_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-xinput0:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-xkb1:riscv64. Preparing to unpack .../190-libxcb-xkb1_1.15-1ubuntu2_riscv64.deb ... Unpacking libxcb-xkb1:riscv64 (1.15-1ubuntu2) ... Selecting previously unselected package libxkbcommon-x11-0:riscv64. Preparing to unpack .../191-libxkbcommon-x11-0_1.6.0-1build1_riscv64.deb ... Unpacking libxkbcommon-x11-0:riscv64 (1.6.0-1build1) ... Selecting previously unselected package libxrender1:riscv64. Preparing to unpack .../192-libxrender1_1%3a0.9.10-1.1build1_riscv64.deb ... Unpacking libxrender1:riscv64 (1:0.9.10-1.1build1) ... Selecting previously unselected package libqt5gui5t64:riscv64. Preparing to unpack .../193-libqt5gui5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5gui5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5widgets5t64:riscv64. Preparing to unpack .../194-libqt5widgets5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5widgets5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5opengl5t64:riscv64. Preparing to unpack .../195-libqt5opengl5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5opengl5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5printsupport5t64:riscv64. Preparing to unpack .../196-libqt5printsupport5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5printsupport5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5sql5t64:riscv64. Preparing to unpack .../197-libqt5sql5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5sql5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5test5t64:riscv64. Preparing to unpack .../198-libqt5test5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5test5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5xml5t64:riscv64. Preparing to unpack .../199-libqt5xml5t64_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5xml5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libvulkan-dev:riscv64. Preparing to unpack .../200-libvulkan-dev_1.3.280.0-1_riscv64.deb ... Unpacking libvulkan-dev:riscv64 (1.3.280.0-1) ... Selecting previously unselected package libxext-dev:riscv64. Preparing to unpack .../201-libxext-dev_2%3a1.3.4-1build2_riscv64.deb ... Unpacking libxext-dev:riscv64 (2:1.3.4-1build2) ... Selecting previously unselected package qt5-qmake-bin. Preparing to unpack .../202-qt5-qmake-bin_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking qt5-qmake-bin (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package qtchooser. Preparing to unpack .../203-qtchooser_66-2build2_riscv64.deb ... Unpacking qtchooser (66-2build2) ... Selecting previously unselected package qt5-qmake:riscv64. Preparing to unpack .../204-qt5-qmake_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking qt5-qmake:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package qtbase5-dev-tools. Preparing to unpack .../205-qtbase5-dev-tools_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking qtbase5-dev-tools (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package qtbase5-dev:riscv64. Preparing to unpack .../206-qtbase5-dev_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking qtbase5-dev:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package libqt5opengl5-dev:riscv64. Preparing to unpack .../207-libqt5opengl5-dev_5.15.13+dfsg-1ubuntu1_riscv64.deb ... Unpacking libqt5opengl5-dev:riscv64 (5.15.13+dfsg-1ubuntu1) ... Selecting previously unselected package pkgconf-bin. Preparing to unpack .../208-pkgconf-bin_1.8.1-2build1_riscv64.deb ... Unpacking pkgconf-bin (1.8.1-2build1) ... Selecting previously unselected package pkgconf:riscv64. Preparing to unpack .../209-pkgconf_1.8.1-2build1_riscv64.deb ... Unpacking pkgconf:riscv64 (1.8.1-2build1) ... Selecting previously unselected package pkg-config:riscv64. Preparing to unpack .../210-pkg-config_1.8.1-2build1_riscv64.deb ... Unpacking pkg-config:riscv64 (1.8.1-2build1) ... Selecting previously unselected package python3-apycula. Preparing to unpack .../211-python3-apycula_0.12+dfsg1-1_all.deb ... Unpacking python3-apycula (0.12+dfsg1-1) ... Selecting previously unselected package python3-pytrellis. Preparing to unpack .../212-python3-pytrellis_1.4-2build4_riscv64.deb ... Unpacking python3-pytrellis (1.4-2build4) ... Selecting previously unselected package libeigen3-dev. Preparing to unpack .../213-libeigen3-dev_3.4.0-4_all.deb ... Unpacking libeigen3-dev (3.4.0-4) ... Selecting previously unselected package sbuild-build-depends-main-dummy. Preparing to unpack .../214-sbuild-build-depends-main-dummy_0.invalid.0_riscv64.deb ... Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ... Setting up media-types (10.1.0) ... Setting up libpipeline1:riscv64 (1.5.7-2) ... Setting up libgraphite2-3:riscv64 (1.3.14-2build1) ... Setting up libboost-python1.83.0 (1.83.0-2.1ubuntu3) ... Setting up libboost-program-options1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libwayland-server0:riscv64 (1.22.0-2.1build1) ... Setting up libxau6:riscv64 (1:1.0.9-1build6) ... Setting up libdouble-conversion3:riscv64 (3.3.0-1build1) ... Setting up libboost1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libboost-date-time1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libmagic-mgc (1:5.45-3build1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglvnd0:riscv64 (1.7.0-1build1) ... Setting up libboost-regex1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libdebhelper-perl (13.14.1ubuntu5) ... Setting up libbrotli1:riscv64 (1.1.0-2build2) ... Setting up libboost-system1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libuv1t64:riscv64 (1.48.0-1.1build1) ... Setting up libmagic1t64:riscv64 (1:5.45-3build1) ... Setting up libboost-program-options1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up x11-common (1:7.7+23ubuntu3) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of start. Setting up libsensors-config (1:3.6.0-9build1) ... Setting up libpsl5t64:riscv64 (0.21.2-1.1build1) ... Setting up libnghttp2-14:riscv64 (1.61.0-1) ... Setting up libboost-thread1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up gettext-base (0.21-14ubuntu2) ... Setting up m4 (1.4.19-4build1) ... Setting up xkb-data (2.41-2ubuntu1) ... Setting up file (1:5.45-3build1) ... Setting up libboost-filesystem1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libpthread-stubs0-dev:riscv64 (0.4-1build3) ... Setting up libpcre2-16-0:riscv64 (10.42-4ubuntu2) ... Setting up libopengl0:riscv64 (1.7.0-1build1) ... Setting up libelf1t64:riscv64 (0.191-1) ... Setting up libdw1t64:riscv64 (0.191-1) ... Setting up libsasl2-modules-db:riscv64 (2.1.28+dfsg1-5ubuntu3) ... Setting up libboost-atomic1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up help2man (1.49.3) ... Setting up libboost-serialization1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up xtrans-dev (1.4.0-1) ... Setting up autotools-dev (20220109.1) ... Setting up libglib2.0-0t64:riscv64 (2.80.0-6ubuntu1) ... No schema files found: doing nothing. Setting up libpkgconf3:riscv64 (1.8.1-2build1) ... Setting up libexpat1-dev:riscv64 (2.6.2-1) ... Setting up qt5-qmake-bin (5.15.13+dfsg-1ubuntu1) ... Setting up libfreetype6:riscv64 (2.13.2+dfsg-1build3) ... Setting up libboost-program-options-dev:riscv64 (1.83.0.1ubuntu2) ... Setting up libx11-data (2:1.8.7-1build1) ... Setting up libboost-chrono1.83.0t64:riscv64 (1.83.0-2.1ubuntu3) ... Setting up librtmp1:riscv64 (2.4+20151223.gitfa8646d.1-2build7) ... Setting up libboost-iostreams1.83.0:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libavahi-common-data:riscv64 (0.8-13ubuntu6) ... Setting up libdbus-1-3:riscv64 (1.14.10-4ubuntu4) ... Setting up fonts-dejavu-mono (2.37-8) ... Setting up fpga-trellis-database (1.4-2build4) ... Setting up autopoint (0.21-14ubuntu2) ... Setting up libjsoncpp25:riscv64 (1.9.5-6build1) ... Setting up fonts-dejavu-core (2.37-8) ... Setting up pkgconf-bin (1.8.1-2build1) ... Setting up libsensors5:riscv64 (1:3.6.0-9build1) ... Setting up libjpeg-turbo8:riscv64 (2.1.5-2ubuntu2) ... Setting up libglapi-mesa:riscv64 (24.0.5-1ubuntu1) ... Setting up libsasl2-2:riscv64 (2.1.28+dfsg1-5ubuntu3) ... Setting up libssh-4:riscv64 (0.10.6-2build2) ... Setting up libvulkan1:riscv64 (1.3.280.0-1) ... Setting up libboost-atomic1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up autoconf (2.71-3) ... Setting up libicu74:riscv64 (74.2-1ubuntu3) ... Setting up zlib1g-dev:riscv64 (1:1.3.dfsg-3.1ubuntu2) ... Setting up dwz (0.15-1build6) ... Setting up libmtdev1t64:riscv64 (1.1.6-1.2) ... Setting up libxshmfence1:riscv64 (1.3-1build5) ... Setting up librhash0:riscv64 (1.4.3-3build1) ... Setting up libuchardet0:riscv64 (0.0.8-1build1) ... Setting up debugedit (1:5.0-5build2) ... Setting up libmd4c0:riscv64 (0.4.8-1build1) ... Setting up libsub-override-perl (0.10-1) ... Setting up libharfbuzz0b:riscv64 (8.3.0-2build2) ... Setting up xorg-sgml-doctools (1:1.11-1.1) ... Setting up netbase (6.4) ... Setting up cmake-data (3.29.2-2) ... Setting up libglu1-mesa:riscv64 (9.0.2-1.1build1) ... Setting up libboost-system1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libopengl-dev:riscv64 (1.7.0-1build1) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up libbsd0:riscv64 (0.12.2-1) ... Setting up libdrm-common (2.4.120-2build1) ... Setting up libevdev2:riscv64 (1.13.1+dfsg-1build1) ... Setting up libxml2:riscv64 (2.9.14+dfsg-1.3ubuntu3) ... Setting up fpga-icestorm-chipdb (0~20230218gitd20a5e9-1) ... Setting up libldap2:riscv64 (2.6.7+dfsg-1~exp1ubuntu8) ... Setting up libgudev-1.0-0:riscv64 (1:238-5ubuntu1) ... Setting up qtchooser (66-2build2) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up libwacom-common (2.10.0-2) ... Setting up libxkbcommon0:riscv64 (1.6.0-1build1) ... Setting up libwayland-client0:riscv64 (1.22.0-2.1build1) ... Setting up libjpeg8:riscv64 (8c-2ubuntu11) ... Setting up automake (1:1.16.5-1.3ubuntu1) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up x11proto-dev (2024.1-1) ... Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libice6:riscv64 (2:1.0.10-1build3) ... Setting up libxdmcp6:riscv64 (1:1.1.3-0ubuntu6) ... Setting up libxcb1:riscv64 (1.15-1ubuntu2) ... Setting up gettext (0.21-14ubuntu2) ... Setting up libxcb-xfixes0:riscv64 (1.15-1ubuntu2) ... Setting up libxau-dev:riscv64 (1:1.0.9-1build6) ... Setting up libboost-chrono1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libpython3.12-stdlib:riscv64 (3.12.3-1) ... Setting up qt5-qmake:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libxcb-xinput0:riscv64 (1.15-1ubuntu2) ... Setting up libcurl4t64:riscv64 (8.5.0-2ubuntu10.1) ... Setting up libtool (2.4.7-7build1) ... Setting up libxcb-render0:riscv64 (1.15-1ubuntu2) ... Setting up libwacom9:riscv64 (2.10.0-2) ... Setting up fontconfig-config (2.15.0-1.1ubuntu2) ... Setting up libxcb-glx0:riscv64 (1.15-1ubuntu2) ... Setting up python3.12 (3.12.3-1) ... Setting up libedit2:riscv64 (3.1-20230828-1build1) ... Setting up libxcb-keysyms1:riscv64 (0.4.0-1build4) ... Setting up libxcb-shape0:riscv64 (1.15-1ubuntu2) ... Setting up libavahi-common3:riscv64 (0.8-13ubuntu6) ... Setting up libboost-serialization1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libxcb-render-util0:riscv64 (0.3.9-1build4) ... Setting up libxcb-shm0:riscv64 (1.15-1ubuntu2) ... Setting up libboost-filesystem1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libxcb-icccm4:riscv64 (0.4.1-1.1build3) ... Setting up libxcb-util1:riscv64 (0.4.0-1build3) ... Setting up pkgconf:riscv64 (1.8.1-2build1) ... Setting up libinput-bin (1.25.0-1ubuntu2) ... Setting up libxcb-xkb1:riscv64 (1.15-1ubuntu2) ... Setting up libxcb-image0:riscv64 (0.4.0-2build1) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up libxcb-present0:riscv64 (1.15-1ubuntu2) ... Setting up libpython3.12t64:riscv64 (3.12.3-1) ... Setting up dh-autoreconf (20) ... Setting up libxdmcp-dev:riscv64 (1:1.1.3-0ubuntu6) ... Setting up libxcb-xinerama0:riscv64 (1.15-1ubuntu2) ... Setting up libllvm17t64:riscv64 (1:17.0.6-9ubuntu1) ... Setting up libxcb-sync1:riscv64 (1.15-1ubuntu2) ... Setting up libboost-date-time1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up shared-mime-info (2.4-4) ... Setting up libxkbcommon-x11-0:riscv64 (1.6.0-1build1) ... Setting up libeigen3-dev (3.4.0-4) ... Setting up icu-devtools (74.2-1ubuntu3) ... Setting up pkg-config:riscv64 (1.8.1-2build1) ... Setting up libjs-sphinxdoc (7.2.6-6) ... Setting up libxcb-dri2-0:riscv64 (1.15-1ubuntu2) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libdrm2:riscv64 (2.4.120-2build1) ... Setting up groff-base (1.23.0-4) ... Setting up libxcb-randr0:riscv64 (1.15-1ubuntu2) ... Setting up libx11-6:riscv64 (2:1.8.7-1build1) ... Setting up libfontconfig1:riscv64 (2.15.0-1.1ubuntu2) ... Setting up libsm6:riscv64 (2:1.2.3-1build3) ... Setting up libarchive13t64:riscv64 (3.7.2-2) ... Setting up libicu-dev:riscv64 (74.2-1ubuntu3) ... Setting up libavahi-client3:riscv64 (0.8-13ubuntu6) ... Setting up libboost-filesystem-dev:riscv64 (1.83.0.1ubuntu2) ... Setting up libpython3-stdlib:riscv64 (3.12.3-0ubuntu1) ... Setting up libdrm-amdgpu1:riscv64 (2.4.120-2build1) ... Setting up libboost-thread1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libxcb-dri3-0:riscv64 (1.15-1ubuntu2) ... Setting up libinput10:riscv64 (1.25.0-1ubuntu2) ... Setting up libx11-xcb1:riscv64 (2:1.8.7-1build1) ... Setting up fontconfig (2.15.0-1.1ubuntu2) ... Regenerating fonts cache... done. Setting up libdrm-nouveau2:riscv64 (2.4.120-2build1) ... Setting up libxcb1-dev:riscv64 (1.15-1ubuntu2) ... Setting up libxrender1:riscv64 (1:0.9.10-1.1build1) ... Setting up libgbm1:riscv64 (24.0.5-1ubuntu1) ... Setting up libdrm-radeon1:riscv64 (2.4.120-2build1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libgl1-mesa-dri:riscv64 (24.0.5-1ubuntu1) ... Setting up libx11-dev:riscv64 (2:1.8.7-1build1) ... Setting up libxext6:riscv64 (2:1.3.4-1build2) ... Setting up python3 (3.12.3-0ubuntu1) ... Setting up libpython3.12-dev:riscv64 (3.12.3-1) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /usr/lib/systemd/system/man-db.timer. Setting up libxxf86vm1:riscv64 (1:1.1.4-1build4) ... Setting up libqt5core5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libegl-mesa0:riscv64 (24.0.5-1ubuntu1) ... Setting up libxfixes3:riscv64 (1:6.0.0-2build1) ... Setting up python3.12-dev (3.12.3-1) ... Setting up libboost-regex1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libxext-dev:riscv64 (2:1.3.4-1build2) ... Setting up libegl1:riscv64 (1.7.0-1build1) ... Setting up libqt5sql5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up cmake (3.29.2-2) ... Setting up libcups2t64:riscv64 (2.4.7-1.2ubuntu7) ... Setting up libboost-thread-dev:riscv64 (1.83.0.1ubuntu2) ... Setting up libvulkan-dev:riscv64 (1.3.280.0-1) ... Setting up libqt5dbus5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libglx-mesa0:riscv64 (24.0.5-1ubuntu1) ... Setting up libpython3-dev:riscv64 (3.12.3-0ubuntu1) ... Setting up python3-pytrellis (1.4-2build4) ... Setting up libglx0:riscv64 (1.7.0-1build1) ... Setting up libboost-iostreams1.83-dev:riscv64 (1.83.0-2.1ubuntu3) ... Setting up libqt5concurrent5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libqt5network5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up python3-apycula (0.12+dfsg1-1) ... /usr/lib/python3/dist-packages/apycula/gowin_pack.py:68: SyntaxWarning: invalid escape sequence '\{' return f"\{retname} " Setting up libqt5xml5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up debhelper (13.14.1ubuntu5) ... Setting up libboost-iostreams-dev:riscv64 (1.83.0.1ubuntu2) ... Setting up libqt5test5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up qtbase5-dev-tools (5.15.13+dfsg-1ubuntu1) ... Setting up libgl1:riscv64 (1.7.0-1build1) ... Setting up python3-dev (3.12.3-0ubuntu1) ... Setting up libboost-python1.83-dev (1.83.0-2.1ubuntu3) ... Setting up libglx-dev:riscv64 (1.7.0-1build1) ... Setting up libgl-dev:riscv64 (1.7.0-1build1) ... Setting up libegl-dev:riscv64 (1.7.0-1build1) ... Setting up libboost-python-dev (1.83.0.1ubuntu2) ... Setting up libqt5gui5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libglu1-mesa-dev:riscv64 (9.0.2-1.1build1) ... Setting up libqt5widgets5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libqt5opengl5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libqt5printsupport5t64:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up qtbase5-dev:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up libqt5opengl5-dev:riscv64 (5.15.13+dfsg-1ubuntu1) ... Setting up sbuild-build-depends-main-dummy (0.invalid.0) ... Processing triggers for systemd (255.4-1ubuntu8) ... Processing triggers for libc-bin (2.39-0ubuntu8.1) ... +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (riscv64 included in any) +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 6.5.0-28-generic #29.1~22.04.1-Ubuntu SMP Wed Apr 10 02:20:48 UTC 2024 riscv64 (riscv64) Toolchain package versions: binutils_2.42-4ubuntu2 dpkg-dev_1.22.6ubuntu10 g++-13_13.2.0-24ubuntu1 gcc-13_13.2.0-24ubuntu1 libc6-dev_2.39-0ubuntu8.1 libstdc++-13-dev_13.2.0-24ubuntu1 libstdc++6_14-20240429-1ubuntu1 linux-libc-dev_6.8.0-31.31 Package versions: adduser_3.137ubuntu1 advancecomp_2.5-1build1 apt_2.9.2 apt-utils_2.9.2 autoconf_2.71-3 automake_1:1.16.5-1.3ubuntu1 autopoint_0.21-14ubuntu2 autotools-dev_20220109.1 base-files_13.1ubuntu1 base-passwd_3.6.3build1 bash_5.2.21-2ubuntu4 bash-completion_1:2.13.0-1 binutils_2.42-4ubuntu2 binutils-common_2.42-4ubuntu2 binutils-riscv64-linux-gnu_2.42-4ubuntu2 bsdextrautils_2.39.3-9ubuntu6 bsdutils_1:2.39.3-9ubuntu6 build-essential_12.10ubuntu1 bzip2_1.0.8-5.1 ca-certificates_20240203 cmake_3.29.2-2 cmake-data_3.29.2-2 coreutils_9.4-3ubuntu6 cpp_4:13.2.0-7ubuntu1 cpp-13_13.2.0-24ubuntu1 cpp-13-riscv64-linux-gnu_13.2.0-24ubuntu1 cpp-riscv64-linux-gnu_4:13.2.0-7ubuntu1 dash_0.5.12-6ubuntu5 debconf_1.5.86ubuntu1 debconf-i18n_1.5.86ubuntu1 debhelper_13.14.1ubuntu5 debianutils_5.17build1 debugedit_1:5.0-5build2 dh-autoreconf_20 dh-strip-nondeterminism_1.13.1-1 diffutils_1:3.10-1build1 dpkg_1.22.6ubuntu10 dpkg-dev_1.22.6ubuntu10 dwz_0.15-1build6 e2fsprogs_1.47.0-2.4~exp1ubuntu4 fakeroot_1.34-1 file_1:5.45-3build1 findutils_4.9.0-5build1 fontconfig_2.15.0-1.1ubuntu2 fontconfig-config_2.15.0-1.1ubuntu2 fonts-dejavu-core_2.37-8 fonts-dejavu-mono_2.37-8 fpga-icestorm-chipdb_0~20230218gitd20a5e9-1 fpga-trellis-database_1.4-2build4 g++_4:13.2.0-7ubuntu1 g++-13_13.2.0-24ubuntu1 g++-13-riscv64-linux-gnu_13.2.0-24ubuntu1 g++-riscv64-linux-gnu_4:13.2.0-7ubuntu1 gcc_4:13.2.0-7ubuntu1 gcc-13_13.2.0-24ubuntu1 gcc-13-base_13.2.0-24ubuntu1 gcc-13-riscv64-linux-gnu_13.2.0-24ubuntu1 gcc-14-base_14-20240429-1ubuntu1 gcc-riscv64-linux-gnu_4:13.2.0-7ubuntu1 gettext_0.21-14ubuntu2 gettext-base_0.21-14ubuntu2 gpg_2.4.4-2ubuntu17 gpg-agent_2.4.4-2ubuntu17 gpgconf_2.4.4-2ubuntu17 gpgv_2.4.4-2ubuntu17 grep_3.11-4build1 groff-base_1.23.0-4 gzip_1.12-1ubuntu3 help2man_1.49.3 hostname_3.23+nmu2ubuntu2 icu-devtools_74.2-1ubuntu3 init_1.66ubuntu1 init-system-helpers_1.66ubuntu1 intltool-debian_0.35.0+20060710.6 krb5-locales_1.20.1-6ubuntu2 libacl1_2.3.2-2 libapparmor1_4.0.0-beta3-0ubuntu3 libapt-pkg6.0t64_2.9.2 libarchive-zip-perl_1.68-1 libarchive13t64_3.7.2-2 libargon2-1_0~20190702+dfsg-4build1 libasan8_14-20240429-1ubuntu1 libassuan0_2.5.6-1build1 libatomic1_14-20240429-1ubuntu1 libattr1_1:2.5.2-1build1 libaudit-common_1:3.1.2-2.1build1 libaudit1_1:3.1.2-2.1build1 libavahi-client3_0.8-13ubuntu6 libavahi-common-data_0.8-13ubuntu6 libavahi-common3_0.8-13ubuntu6 libbinutils_2.42-4ubuntu2 libblkid1_2.39.3-9ubuntu6 libboost-atomic1.83-dev_1.83.0-2.1ubuntu3 libboost-atomic1.83.0_1.83.0-2.1ubuntu3 libboost-chrono1.83-dev_1.83.0-2.1ubuntu3 libboost-chrono1.83.0t64_1.83.0-2.1ubuntu3 libboost-date-time1.83-dev_1.83.0-2.1ubuntu3 libboost-date-time1.83.0_1.83.0-2.1ubuntu3 libboost-filesystem-dev_1.83.0.1ubuntu2 libboost-filesystem1.83-dev_1.83.0-2.1ubuntu3 libboost-filesystem1.83.0_1.83.0-2.1ubuntu3 libboost-iostreams-dev_1.83.0.1ubuntu2 libboost-iostreams1.83-dev_1.83.0-2.1ubuntu3 libboost-iostreams1.83.0_1.83.0-2.1ubuntu3 libboost-program-options-dev_1.83.0.1ubuntu2 libboost-program-options1.83-dev_1.83.0-2.1ubuntu3 libboost-program-options1.83.0_1.83.0-2.1ubuntu3 libboost-python-dev_1.83.0.1ubuntu2 libboost-python1.83-dev_1.83.0-2.1ubuntu3 libboost-python1.83.0_1.83.0-2.1ubuntu3 libboost-regex1.83-dev_1.83.0-2.1ubuntu3 libboost-regex1.83.0_1.83.0-2.1ubuntu3 libboost-serialization1.83-dev_1.83.0-2.1ubuntu3 libboost-serialization1.83.0_1.83.0-2.1ubuntu3 libboost-system1.83-dev_1.83.0-2.1ubuntu3 libboost-system1.83.0_1.83.0-2.1ubuntu3 libboost-thread-dev_1.83.0.1ubuntu2 libboost-thread1.83-dev_1.83.0-2.1ubuntu3 libboost-thread1.83.0_1.83.0-2.1ubuntu3 libboost1.83-dev_1.83.0-2.1ubuntu3 libbrotli1_1.1.0-2build2 libbsd0_0.12.2-1 libbz2-1.0_1.0.8-5.1 libc-bin_2.39-0ubuntu8.1 libc-dev-bin_2.39-0ubuntu8.1 libc6_2.39-0ubuntu8.1 libc6-dev_2.39-0ubuntu8.1 libcap-ng0_0.8.5-1 libcap2_1:2.66-5ubuntu2 libcc1-0_14-20240429-1ubuntu1 libcom-err2_1.47.0-2.4~exp1ubuntu4 libcrypt-dev_1:4.4.36-4build1 libcrypt1_1:4.4.36-4build1 libcryptsetup12_2:2.7.0-1ubuntu4 libctf-nobfd0_2.42-4ubuntu2 libctf0_2.42-4ubuntu2 libcups2t64_2.4.7-1.2ubuntu7 libcurl4t64_8.5.0-2ubuntu10.1 libdb5.3t64_5.3.28+dfsg2-7 libdbus-1-3_1.14.10-4ubuntu4 libdebconfclient0_0.271ubuntu3 libdebhelper-perl_13.14.1ubuntu5 libdevmapper1.02.1_2:1.02.185-3ubuntu3 libdouble-conversion3_3.3.0-1build1 libdpkg-perl_1.22.6ubuntu10 libdrm-amdgpu1_2.4.120-2build1 libdrm-common_2.4.120-2build1 libdrm-nouveau2_2.4.120-2build1 libdrm-radeon1_2.4.120-2build1 libdrm2_2.4.120-2build1 libdw1t64_0.191-1 libedit2_3.1-20230828-1build1 libegl-dev_1.7.0-1build1 libegl-mesa0_24.0.5-1ubuntu1 libegl1_1.7.0-1build1 libeigen3-dev_3.4.0-4 libelf1t64_0.191-1 libevdev2_1.13.1+dfsg-1build1 libexpat1_2.6.2-1 libexpat1-dev_2.6.2-1 libext2fs2t64_1.47.0-2.4~exp1ubuntu4 libfakeroot_1.34-1 libfdisk1_2.39.3-9ubuntu6 libffi8_3.4.6-1build1 libfile-stripnondeterminism-perl_1.13.1-1 libfontconfig1_2.15.0-1.1ubuntu2 libfreetype6_2.13.2+dfsg-1build3 libgbm1_24.0.5-1ubuntu1 libgcc-13-dev_13.2.0-24ubuntu1 libgcc-s1_14-20240429-1ubuntu1 libgcrypt20_1.10.3-2build1 libgdbm-compat4t64_1.23-5.1build1 libgdbm6t64_1.23-5.1build1 libgl-dev_1.7.0-1build1 libgl1_1.7.0-1build1 libgl1-mesa-dri_24.0.5-1ubuntu1 libglapi-mesa_24.0.5-1ubuntu1 libglib2.0-0t64_2.80.0-6ubuntu1 libglu1-mesa_9.0.2-1.1build1 libglu1-mesa-dev_9.0.2-1.1build1 libglvnd0_1.7.0-1build1 libglx-dev_1.7.0-1build1 libglx-mesa0_24.0.5-1ubuntu1 libglx0_1.7.0-1build1 libgmp10_2:6.3.0+dfsg-2ubuntu6 libgnutls30t64_3.8.3-1.1ubuntu3.1 libgomp1_14-20240429-1ubuntu1 libgpg-error-l10n_1.47-3build2 libgpg-error0_1.47-3build2 libgpm2_1.20.7-11 libgraphite2-3_1.3.14-2build1 libgssapi-krb5-2_1.20.1-6ubuntu2 libgudev-1.0-0_1:238-5ubuntu1 libharfbuzz0b_8.3.0-2build2 libhogweed6t64_3.9.1-2.2build1 libice6_2:1.0.10-1build3 libicu-dev_74.2-1ubuntu3 libicu74_74.2-1ubuntu3 libidn2-0_2.3.7-2build1 libinput-bin_1.25.0-1ubuntu2 libinput10_1.25.0-1ubuntu2 libip4tc2_1.8.10-3ubuntu2 libisl23_0.26-3build1 libjansson4_2.14-2build2 libjpeg-turbo8_2.1.5-2ubuntu2 libjpeg8_8c-2ubuntu11 libjs-jquery_3.6.1+dfsg+~3.5.14-1 libjs-sphinxdoc_7.2.6-6 libjs-underscore_1.13.4~dfsg+~1.11.4-3 libjson-c5_0.17-1build1 libjsoncpp25_1.9.5-6build1 libk5crypto3_1.20.1-6ubuntu2 libkeyutils1_1.6.3-3build1 libkmod2_31+20240202-2ubuntu7 libkrb5-3_1.20.1-6ubuntu2 libkrb5support0_1.20.1-6ubuntu2 libldap2_2.6.7+dfsg-1~exp1ubuntu8 libllvm17t64_1:17.0.6-9ubuntu1 liblocale-gettext-perl_1.07-6ubuntu5 liblockfile-bin_1.17-1build3 liblockfile1_1.17-1build3 liblz4-1_1.9.4-2 liblzma5_5.6.1+really5.4.5-1 libmagic-mgc_1:5.45-3build1 libmagic1t64_1:5.45-3build1 libmd0_1.1.0-2build1 libmd4c0_0.4.8-1build1 libmount1_2.39.3-9ubuntu6 libmpc3_1.3.1-1build1 libmpfr6_4.2.1-1build1 libmtdev1t64_1.1.6-1.2 libncursesw6_6.4+20240113-1ubuntu2 libnettle8t64_3.9.1-2.2build1 libnghttp2-14_1.61.0-1 libnpth0t64_1.6-3.1build1 libnsl-dev_1.3.0-3build3 libnsl2_1.3.0-3build3 libnss-nis_3.1-0ubuntu7 libnss-nisplus_1.3-5build1 libopengl-dev_1.7.0-1build1 libopengl0_1.7.0-1build1 libp11-kit0_0.25.3-4ubuntu2 libpam-modules_1.5.3-7ubuntu1 libpam-modules-bin_1.5.3-7ubuntu1 libpam-runtime_1.5.3-7ubuntu1 libpam0g_1.5.3-7ubuntu1 libpcre2-16-0_10.42-4ubuntu2 libpcre2-8-0_10.42-4ubuntu2 libperl5.36_5.36.0-9ubuntu1 libperl5.38t64_5.38.2-4 libpipeline1_1.5.7-2 libpkgconf3_1.8.1-2build1 libpng16-16t64_1.6.43-5build1 libproc2-0_2:4.0.4-4ubuntu3 libpsl5t64_0.21.2-1.1build1 libpthread-stubs0-dev_0.4-1build3 libpython3-dev_3.12.3-0ubuntu1 libpython3-stdlib_3.12.3-0ubuntu1 libpython3.12-dev_3.12.3-1 libpython3.12-minimal_3.12.3-1 libpython3.12-stdlib_3.12.3-1 libpython3.12t64_3.12.3-1 libqt5concurrent5t64_5.15.13+dfsg-1ubuntu1 libqt5core5t64_5.15.13+dfsg-1ubuntu1 libqt5dbus5t64_5.15.13+dfsg-1ubuntu1 libqt5gui5t64_5.15.13+dfsg-1ubuntu1 libqt5network5t64_5.15.13+dfsg-1ubuntu1 libqt5opengl5-dev_5.15.13+dfsg-1ubuntu1 libqt5opengl5t64_5.15.13+dfsg-1ubuntu1 libqt5printsupport5t64_5.15.13+dfsg-1ubuntu1 libqt5sql5t64_5.15.13+dfsg-1ubuntu1 libqt5test5t64_5.15.13+dfsg-1ubuntu1 libqt5widgets5t64_5.15.13+dfsg-1ubuntu1 libqt5xml5t64_5.15.13+dfsg-1ubuntu1 libreadline8t64_8.2-4build1 librhash0_1.4.3-3build1 librtmp1_2.4+20151223.gitfa8646d.1-2build7 libsasl2-2_2.1.28+dfsg1-5ubuntu3 libsasl2-modules-db_2.1.28+dfsg1-5ubuntu3 libseccomp2_2.5.5-1ubuntu3 libselinux1_3.5-2ubuntu2 libsemanage-common_3.5-1build5 libsemanage2_3.5-1build5 libsensors-config_1:3.6.0-9build1 libsensors5_1:3.6.0-9build1 libsepol2_3.5-2build1 libsframe1_2.42-4ubuntu2 libsm6_2:1.2.3-1build3 libsmartcols1_2.39.3-9ubuntu6 libsqlite3-0_3.45.3-1 libss2_1.47.0-2.4~exp1ubuntu4 libssh-4_0.10.6-2build2 libssl3t64_3.0.13-0ubuntu3 libstdc++-13-dev_13.2.0-24ubuntu1 libstdc++6_14-20240429-1ubuntu1 libsub-override-perl_0.10-1 libsystemd-shared_255.4-1ubuntu8 libsystemd0_255.4-1ubuntu8 libtasn1-6_4.19.0-3build1 libtext-charwidth-perl_0.04-11build3 libtext-iconv-perl_1.7-8build3 libtext-wrapi18n-perl_0.06-10 libtinfo6_6.4+20240113-1ubuntu2 libtirpc-common_1.3.4+ds-1.3 libtirpc-dev_1.3.4+ds-1.3 libtirpc3t64_1.3.4+ds-1.3 libtool_2.4.7-7build1 libubsan1_14-20240429-1ubuntu1 libuchardet0_0.0.8-1build1 libudev1_255.4-1ubuntu8 libunistring2_1.0-2 libunistring5_1.2-1 libuuid1_2.39.3-9ubuntu6 libuv1t64_1.48.0-1.1build1 libvulkan-dev_1.3.280.0-1 libvulkan1_1.3.280.0-1 libwacom-common_2.10.0-2 libwacom9_2.10.0-2 libwayland-client0_1.22.0-2.1build1 libwayland-server0_1.22.0-2.1build1 libx11-6_2:1.8.7-1build1 libx11-data_2:1.8.7-1build1 libx11-dev_2:1.8.7-1build1 libx11-xcb1_2:1.8.7-1build1 libxau-dev_1:1.0.9-1build6 libxau6_1:1.0.9-1build6 libxcb-dri2-0_1.15-1ubuntu2 libxcb-dri3-0_1.15-1ubuntu2 libxcb-glx0_1.15-1ubuntu2 libxcb-icccm4_0.4.1-1.1build3 libxcb-image0_0.4.0-2build1 libxcb-keysyms1_0.4.0-1build4 libxcb-present0_1.15-1ubuntu2 libxcb-randr0_1.15-1ubuntu2 libxcb-render-util0_0.3.9-1build4 libxcb-render0_1.15-1ubuntu2 libxcb-shape0_1.15-1ubuntu2 libxcb-shm0_1.15-1ubuntu2 libxcb-sync1_1.15-1ubuntu2 libxcb-util1_0.4.0-1build3 libxcb-xfixes0_1.15-1ubuntu2 libxcb-xinerama0_1.15-1ubuntu2 libxcb-xinput0_1.15-1ubuntu2 libxcb-xkb1_1.15-1ubuntu2 libxcb1_1.15-1ubuntu2 libxcb1-dev_1.15-1ubuntu2 libxdmcp-dev_1:1.1.3-0ubuntu6 libxdmcp6_1:1.1.3-0ubuntu6 libxext-dev_2:1.3.4-1build2 libxext6_2:1.3.4-1build2 libxfixes3_1:6.0.0-2build1 libxkbcommon-x11-0_1.6.0-1build1 libxkbcommon0_1.6.0-1build1 libxml2_2.9.14+dfsg-1.3ubuntu3 libxrender1_1:0.9.10-1.1build1 libxshmfence1_1.3-1build5 libxxf86vm1_1:1.1.4-1build4 libxxhash0_0.8.2-2build1 libzstd1_1.5.5+dfsg2-2build1 linux-libc-dev_6.8.0-31.31 lockfile-progs_0.1.19+nmu1 login_1:4.13+dfsg1-4ubuntu3 logsave_1.47.0-2.4~exp1ubuntu4 lto-disabled-list_48 m4_1.4.19-4build1 make_4.3-4.1build2 man-db_2.12.1-1 mawk_1.3.4.20240123-1build1 media-types_10.1.0 mount_2.39.3-9ubuntu6 ncurses-base_6.4+20240113-1ubuntu2 ncurses-bin_6.4+20240113-1ubuntu2 netbase_6.4 openssl_3.0.13-0ubuntu3 optipng_0.7.8+ds-1build2 passwd_1:4.13+dfsg1-4ubuntu3 patch_2.7.6-7build3 perl_5.38.2-4 perl-base_5.38.2-4 perl-modules-5.36_5.36.0-9ubuntu1 perl-modules-5.38_5.38.2-4 pinentry-curses_1.2.1-3ubuntu5 pkg-config_1.8.1-2build1 pkgbinarymangler_154 pkgconf_1.8.1-2build1 pkgconf-bin_1.8.1-2build1 po-debconf_1.0.21+nmu1 policyrcd-script-zg2_0.1-3.1 procps_2:4.0.4-4ubuntu3 psmisc_23.7-1build1 python3_3.12.3-0ubuntu1 python3-apycula_0.12+dfsg1-1 python3-dev_3.12.3-0ubuntu1 python3-minimal_3.12.3-0ubuntu1 python3-pytrellis_1.4-2build4 python3.12_3.12.3-1 python3.12-dev_3.12.3-1 python3.12-minimal_3.12.3-1 qt5-qmake_5.15.13+dfsg-1ubuntu1 qt5-qmake-bin_5.15.13+dfsg-1ubuntu1 qtbase5-dev_5.15.13+dfsg-1ubuntu1 qtbase5-dev-tools_5.15.13+dfsg-1ubuntu1 qtchooser_66-2build2 readline-common_8.2-4build1 rpcsvc-proto_1.4.2-0ubuntu7 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.9-2build1 sensible-utils_0.0.22 shared-mime-info_2.4-4 systemd_255.4-1ubuntu8 systemd-dev_255.4-1ubuntu8 systemd-sysv_255.4-1ubuntu8 sysvinit-utils_3.08-6ubuntu3 tar_1.35+dfsg-3build1 tzdata_2024a-4ubuntu1 ubuntu-keyring_2023.11.28.1 util-linux_2.39.3-9ubuntu6 uuid-runtime_2.39.3-9ubuntu6 x11-common_1:7.7+23ubuntu3 x11proto-dev_2024.1-1 xkb-data_2.41-2ubuntu1 xorg-sgml-doctools_1:1.11-1.1 xtrans-dev_1.4.0-1 xz-utils_5.6.1+really5.4.5-1 zlib1g_1:1.3.dfsg-3.1ubuntu2 zlib1g-dev_1:1.3.dfsg-3.1ubuntu2 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: nextpnr Binary: nextpnr-ice40, nextpnr-ice40-qt, nextpnr-ice40-chipdb, nextpnr-ecp5, nextpnr-ecp5-qt, nextpnr-ecp5-chipdb, nextpnr-gowin, nextpnr-gowin-qt, nextpnr-gowin-chipdb, nextpnr-himbaechel, nextpnr-himbaechel-qt, nextpnr-himbaechel-chipdb, nextpnr-generic Architecture: any Version: 0.7-1 Maintainer: Debian Electronics Team Uploaders: Ruben Undheim , Nathaniel Graff , Daniel Gröber Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. Standards-Version: 4.6.1 Vcs-Browser: https://salsa.debian.org/electronics-team/nextpnr Vcs-Git: https://salsa.debian.org/electronics-team/nextpnr.git Testsuite: autopkgtest Build-Depends: debhelper-compat (= 13), cmake, qtbase5-dev, libboost-filesystem-dev, libboost-thread-dev, libboost-program-options-dev, libboost-python-dev, libboost-iostreams-dev, libqt5opengl5-dev, libeigen3-dev, python3-dev, fpga-icestorm-chipdb, python3-apycula, python3-pytrellis, help2man Package-List: nextpnr-ecp5 deb electronics optional arch=any nextpnr-ecp5-chipdb deb electronics optional arch=any nextpnr-ecp5-qt deb electronics optional arch=any nextpnr-generic deb electronics optional arch=any nextpnr-gowin deb electronics optional arch=any nextpnr-gowin-chipdb deb electronics optional arch=any nextpnr-gowin-qt deb electronics optional arch=any nextpnr-himbaechel deb electronics optional arch=any profile=pkg.nextpnr.himbaechel nextpnr-himbaechel-chipdb deb electronics optional arch=any profile=pkg.nextpnr.himbaechel nextpnr-himbaechel-qt deb electronics optional arch=any profile=pkg.nextpnr.himbaechel nextpnr-ice40 deb electronics optional arch=any nextpnr-ice40-chipdb deb electronics optional arch=any nextpnr-ice40-qt deb electronics optional arch=any Checksums-Sha1: d4e6c2ce7e0eb17d7ef56f22af204214ec5a567d 4711765 nextpnr_0.7.orig.tar.gz aa6c3f22aa5f444b8fa5be78f0882cb9b0d3904e 9148 nextpnr_0.7-1.debian.tar.xz Checksums-Sha256: bd173cb218c97f66e4afdf7b886d70c8c0893f544a1cf20b50c0182a1d9f2f45 4711765 nextpnr_0.7.orig.tar.gz 82b6fd860b49dc72c93c4e466e7e17cd1e17b5973959aa632cbef5f6aaf72b01 9148 nextpnr_0.7-1.debian.tar.xz Files: e61f358fa7eaa8af645c5fb7ee670d62 4711765 nextpnr_0.7.orig.tar.gz 8fafeaeae14a7d6e9cc2db87afcd7deb 9148 nextpnr_0.7-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQFDBAEBCgAtFiEEtjuqOJSXmNjSiX3Tfr04e7CZCBEFAmY2UecPHHNqckBkZWJp YW4ub3JnAAoJEH69OHuwmQgR4lIIAKCfUCaRPyTG60g/ucdKXx6rI0C36MC6Pf+/ qn4A1ToiLSPGPfKQmXjj9KqlM3FYTJ8MW3vH1kBelCxjkYauLWzouKwG47OHEmAv Z5wmgLahBlfvnNVijt30XftPrB3HkcoOYRHre9B5Lw2tizK0cXaq+0boF0W4Q347 Hvz0EMVkTI9PPR8Hl9ZZfvFnfozrgzmZt/k2irXnODmltpGPPXA3RdOQYHFP5BQL jBJQLfGXy7HHx9hjwL329uj4mJtT/wp/5cEnntNw5sWx2ae591FELBYXKkfsM5+P WEAqayr41RYNSUt0aP2Bmqw/R4wpSQDqqBZQeccW0rfVc07v7fY= =RDuM -----END PGP SIGNATURE----- gpgv: Signature made Sat May 4 15:19:03 2024 UTC gpgv: using RSA key B63BAA38949798D8D2897DD37EBD387BB0990811 gpgv: issuer "sjr@debian.org" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./nextpnr_0.7-1.dsc: no acceptable signature found dpkg-source: info: extracting nextpnr in /<> dpkg-source: info: unpacking nextpnr_0.7.orig.tar.gz dpkg-source: info: unpacking nextpnr_0.7-1.debian.tar.xz Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-28419948 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-28419948 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-28419948 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- Command: dpkg-buildpackage --sanitize-env -us -uc -mLaunchpad Build Daemon -B -rfakeroot dpkg-buildpackage: info: source package nextpnr dpkg-buildpackage: info: source version 0.7-1 dpkg-buildpackage: info: source distribution unstable dpkg-source --before-build . dpkg-buildpackage: info: host architecture riscv64 debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' rm -rf /<>/debian/build rm -f debian/nextpnr-*.1 dh_auto_clean make[1]: Leaving directory '/<>' dh_clean rm -f debian/debhelper-build-stamp rm -rf debian/.debhelper/ rm -f -- debian/nextpnr-ice40.substvars debian/nextpnr-ice40-qt.substvars debian/nextpnr-ice40-chipdb.substvars debian/nextpnr-ecp5.substvars debian/nextpnr-ecp5-qt.substvars debian/nextpnr-ecp5-chipdb.substvars debian/nextpnr-gowin.substvars debian/nextpnr-gowin-qt.substvars debian/nextpnr-gowin-chipdb.substvars debian/nextpnr-generic.substvars debian/files rm -fr -- debian/nextpnr-ice40/ debian/tmp/ debian/nextpnr-ice40-qt/ debian/nextpnr-ice40-chipdb/ debian/nextpnr-ecp5/ debian/nextpnr-ecp5-qt/ debian/nextpnr-ecp5-chipdb/ debian/nextpnr-gowin/ debian/nextpnr-gowin-qt/ debian/nextpnr-gowin-chipdb/ debian/nextpnr-generic/ find . \( \( \ \( -path .\*/.git -o -path .\*/.svn -o -path .\*/.bzr -o -path .\*/.hg -o -path .\*/CVS -o -path .\*/.pc -o -path .\*/_darcs \) -prune -o -type f -a \ \( -name '#*#' -o -name '.*~' -o -name '*~' -o -name DEADJOE \ -o -name '*.orig' -o -name '*.rej' -o -name '*.bak' \ -o -name '.*.orig' -o -name .*.rej -o -name '.SUMS' \ -o -name TAGS -o \( -path '*/.deps/*' -a -name '*.P' \) \ \) -exec rm -f {} + \) -o \ \( -type d -a \( -name autom4te.cache -o -name __pycache__ \) -prune -exec rm -rf {} + \) \) debian/rules binary-arch dh binary-arch dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' /usr/bin/make -fdebian/rules -j4 \ configure/generic configure/ice40-qt configure/ice40 configure/gowin-qt configure/gowin configure/ecp5-qt configure/ecp5 make[2]: Entering directory '/<>' dh_auto_configure -B/<>/debian/build/generic -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=generic dh_auto_configure -B/<>/debian/build/ice40-qt -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICESTORM_INSTALL_PREFIX=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=ON dh_auto_configure -B/<>/debian/build/ice40 -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICESTORM_INSTALL_PREFIX=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=OFF dh_auto_configure -B/<>/debian/build/gowin-qt -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=gowin -DBUILD_GUI=ON cd debian/build/ice40-qt && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICESTORM_INSTALL_PREFIX=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=ON ../../.. cd debian/build/generic && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=generic ../../.. cd debian/build/gowin-qt && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=gowin -DBUILD_GUI=ON ../../.. cd debian/build/ice40 && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICESTORM_INSTALL_PREFIX=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=OFF ../../.. -- The CXX compiler identification is GNU 13.2.0 -- The CXX compiler identification is GNU 13.2.0 -- The CXX compiler identification is GNU 13.2.0 -- The CXX compiler identification is GNU 13.2.0 -- The C compiler identification is GNU 13.2.0 -- The C compiler identification is GNU 13.2.0 -- The C compiler identification is GNU 13.2.0 -- The C compiler identification is GNU 13.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Detecting CXX compiler ABI info - done -- Detecting CXX compiler ABI info - done -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting CXX compile features - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting C compiler ABI info -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Detecting C compiler ABI info - done -- Detecting C compiler ABI info - done -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features - done -- Detecting C compile features - done -- Detecting C compile features -- Detecting C compile features - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Building with IPO -- Building with IPO -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Found Threads: TRUE -- Found Threads: TRUE -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Using external chipdb path: /usr/share/nextpnr -- Using external chipdb path: /usr/share/nextpnr -- Using external chipdb path: /usr/share/nextpnr -- Using external chipdb path: /usr/share/nextpnr -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Performing Test HAS_Wno-format-truncation - Success -- Performing Test HAS_Wno-format-truncation - Success -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMaCMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. ke < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found OpenGL: /usr/lib/riscv64-linux-gnu/libOpenGL.so -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found OpenGL: /usr/lib/riscv64-linux-gnu/libOpenGL.so CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: ice40 -- Configuring architecture: generic -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: gowin -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Using Gowin chipdb: /<>/debian/build/gowin-qt/gowin/chipdb -- Configuring done (53.4s) -- Configuring done (54.1s) -- Generating done (0.6s) CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/generic dh_auto_configure -B/<>/debian/build/gowin -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=gowin -DBUILD_GUI=OFF -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr/share/fpga-icestorm/chipdb -- icebox data directory: /usr/share/fpga-icestorm/chipdb -- Using iCE40 chipdb: /<>/debian/build/ice40/ice40/chipdb -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr/share/fpga-icestorm/chipdb -- icebox data directory: /usr/share/fpga-icestorm/chipdb -- Using iCE40 chipdb: /<>/debian/build/ice40-qt/ice40/chipdb -- Generating done (1.1s) CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/gowin-qt cd debian/build/gowin && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DARCH=gowin -DBUILD_GUI=OFF ../../.. dh_auto_configure -B/<>/debian/build/ecp5-qt -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DTRELLIS_LIBDIR=/usr/lib/riscv64-linux-gnu/trellis/ -DTRELLIS_DATADIR=/usr/share/trellis/ -DARCH=ecp5 -DBUILD_GUI=ON -- Configuring done (56.4s) -- Configuring done (56.8s) -- Generating done (0.9s) CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/ice40 dh_auto_configure -B/<>/debian/build/ecp5 -- -DCURRENT_GIT_VERSION="0.7-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DTRELLIS_LIBDIR=/usr/lib/riscv64-linux-gnu/trellis/ -DTRELLIS_DATADIR=/usr/share/trellis/ -DARCH=ecp5 -DBUILD_GUI=OFF cd debian/build/ecp5-qt && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DTRELLIS_LIBDIR=/usr/lib/riscv64-linux-gnu/trellis/ -DTRELLIS_DATADIR=/usr/share/trellis/ -DARCH=ecp5 -DBUILD_GUI=ON ../../.. -- The CXX compiler identification is GNU 13.2.0 -- Generating done (1.1s) CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/ice40-qt cd debian/build/ecp5 && DEB_PYTHON_INSTALL_LAYOUT=deb cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/riscv64-linux-gnu -DBUILD_TESTING:BOOL=OFF -DCURRENT_GIT_VERSION=0.7-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DEXTERNAL_CHIPDB=ON -DTRELLIS_LIBDIR=/usr/lib/riscv64-linux-gnu/trellis/ -DTRELLIS_DATADIR=/usr/share/trellis/ -DARCH=ecp5 -DBUILD_GUI=OFF ../../.. -- The CXX compiler identification is GNU 13.2.0 -- The C compiler identification is GNU 13.2.0 -- Detecting CXX compiler ABI info -- The CXX compiler identification is GNU 13.2.0 -- The C compiler identification is GNU 13.2.0 -- Detecting CXX compiler ABI info -- The C compiler identification is GNU 13.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Using external chipdb path: /usr/share/nextpnr -- Performing Test HAS_Wno-unused-parameter -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Using external chipdb path: /usr/share/nextpnr -- Performing Test HAS_Wno-unused-parameter -- Using external chipdb path: /usr/share/nextpnr -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Performing Test HAS_Wno-format-truncation - Success -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found OpenGL: /usr/lib/riscv64-linux-gnu/libOpenGL.so CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Found PythonInterp: /usr/bin/python3 (found version "3.12.3") -- Configuring architecture: gowin -- Configuring architecture: ecp5 -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Enabled ECP5 devices: 25k;45k;85k -- Using Gowin chipdb: /<>/debian/build/gowin/gowin/chipdb -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Configuring done (53.5s) -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib/riscv64-linux-gnu/trellis/ -- Trellis data directory: /usr/share/trellis/ -- Using ECP5 chipdb: /<>/debian/build/ecp5/ecp5/chipdb -- Generating done (0.7s) -- Found Python3: /usr/bin/python3 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib/riscv64-linux-gnu/trellis/ -- Trellis data directory: /usr/share/trellis/ -- Using ECP5 chipdb: /<>/debian/build/ecp5-qt/ecp5/chipdb CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/gowin -- Configuring done (53.0s) -- Configuring done (54.9s) -- Generating done (0.7s) CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/ecp5 -- Generating done (1.0s) CMake Warning: Manually-specified variables were not used by the project: BUILD_TESTING CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /<>/debian/build/ecp5-qt make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' rm -f debian/nextpnr-ecp5-chipdb.debhelper.log debian/nextpnr-ecp5-qt.debhelper.log debian/nextpnr-ecp5.debhelper.log debian/nextpnr-generic.debhelper.log debian/nextpnr-gowin-chipdb.debhelper.log debian/nextpnr-gowin-qt.debhelper.log debian/nextpnr-gowin.debhelper.log debian/nextpnr-ice40-chipdb.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_auto_build make[1]: Entering directory '/<>' /usr/bin/make -fdebian/rules -j4 \ build/generic build/ice40-qt build/ice40 build/gowin-qt build/gowin build/ecp5-qt build/ecp5 make[2]: Entering directory '/<>' cd /<>/debian/build/generic && exec /usr/bin/make "INSTALL=install --strip-program=true" cd /<>/debian/build/ice40-qt && exec /usr/bin/make "INSTALL=install --strip-program=true" cd /<>/debian/build/ice40 && exec /usr/bin/make "INSTALL=install --strip-program=true" cd /<>/debian/build/gowin-qt && exec /usr/bin/make "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/generic' /usr/bin/cmake -S/<> -B/<>/debian/build/generic --check-build-system CMakeFiles/Makefile.cmake 0 make[3]: Entering directory '/<>/debian/build/ice40-qt' /usr/bin/cmake -S/<> -B/<>/debian/build/ice40-qt --check-build-system CMakeFiles/Makefile.cmake 0 make[3]: Entering directory '/<>/debian/build/ice40' /usr/bin/cmake -S/<> -B/<>/debian/build/ice40 --check-build-system CMakeFiles/Makefile.cmake 0 make[3]: Entering directory '/<>/debian/build/gowin-qt' /usr/bin/cmake -S/<> -B/<>/debian/build/gowin-qt --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /<>/debian/build/generic/CMakeFiles /<>/debian/build/generic//CMakeFiles/progress.marks /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ice40/CMakeFiles /<>/debian/build/ice40//CMakeFiles/progress.marks /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ice40-qt/CMakeFiles /<>/debian/build/ice40-qt//CMakeFiles/progress.marks /usr/bin/cmake -E cmake_progress_start /<>/debian/build/gowin-qt/CMakeFiles /<>/debian/build/gowin-qt//CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all /usr/bin/make -f CMakeFiles/Makefile2 all /usr/bin/make -f CMakeFiles/Makefile2 all /usr/bin/make -f CMakeFiles/Makefile2 all make[4]: Entering directory '/<>/debian/build/generic' /usr/bin/make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend make[4]: Entering directory '/<>/debian/build/ice40' /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make[4]: Entering directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend make[4]: Entering directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/gui/gowin/CMakeFiles/gui_gowin_autogen_timestamp_deps.dir/build.make generated/gui/gowin/CMakeFiles/gui_gowin_autogen_timestamp_deps.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[5]: Entering directory '/<>/debian/build/generic' cd /<>/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/generic /<>/debian/build/generic /<>/debian/build/generic/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40 /<>/debian/build/ice40 /<>/debian/build/ice40/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/gui/gowin /<>/debian/build/gowin-qt/generated/gui/gowin/CMakeFiles/gui_gowin_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build make[5]: Leaving directory '/<>/debian/build/ice40' make[5]: Leaving directory '/<>/debian/build/generic' /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build /usr/bin/make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/gui/gowin/CMakeFiles/gui_gowin_autogen_timestamp_deps.dir/build.make generated/gui/gowin/CMakeFiles/gui_gowin_autogen_timestamp_deps.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' make[5]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. make[5]: Leaving directory '/<>/debian/build/ice40-qt' make[5]: Entering directory '/<>/debian/build/ice40' make[5]: Entering directory '/<>/debian/build/gowin-qt' make[5]: Nothing to be done for 'generated/gui/gowin/CMakeFiles/gui_gowin_autogen_timestamp_deps.dir/build'. make[5]: Leaving directory '/<>/debian/build/gowin-qt' make[5]: Entering directory '/<>/debian/build/generic' [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/depend [ 0%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o [ 0%] Built target gui_gowin_autogen_timestamp_deps /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend [ 1%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/gui/ice40 /<>/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' make[5]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. make[5]: Entering directory '/<>/debian/build/ice40-qt' make[5]: Leaving directory '/<>/debian/build/gowin-qt' make[5]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build'. make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps [ 0%] Built target gui_ice40_autogen_timestamp_deps /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/3rdparty/googletest /<>/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/3rdparty/googletest /<>/debian/build/gowin-qt/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 0%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc [ 1%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/gowin-qt/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc [ 1%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 2%] Linking CXX static library libgtest.a cd /<>/debian/build/gowin-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /<>/debian/build/gowin-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 [ 1%] Linking CXX static library libgtest.a cd /<>/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" cd /<>/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a /usr/bin/ranlib libgtest.a make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 2%] Built target gtest /usr/bin/make -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gowin /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/gowin /<>/debian/build/gowin-qt/gowin/CMakeFiles/chipdb-gowin-bbas.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 1%] Built target gtest /usr/bin/make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/ice40 /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/ice40 /<>/debian/build/ice40-qt/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 3%] Generating chipdb/chipdb-GW1N-1.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1N-1 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-1.bba.new make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 2%] Generating chipdb/chipdb-384.bba cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-1.bba.new chipdb/chipdb-GW1N-1.bba [ 4%] Generating chipdb/chipdb-GW1NZ-1.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1NZ-1 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1NZ-1.bba.new cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NZ-1.bba.new chipdb/chipdb-GW1NZ-1.bba [ 5%] Generating chipdb/chipdb-GW1N-4.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1N-4 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-4.bba.new [ 2%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 3%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-4.bba.new chipdb/chipdb-GW1N-4.bba [ 5%] Generating chipdb/chipdb-GW1N-9.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1N-9 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-9.bba.new [ 4%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 3%] Generating chipdb/chipdb-1k.bba cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx1k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp1k.txt /usr/share/fpga-icestorm/chipdb/chipdb-1k.txt > chipdb/chipdb-1k.bba.new cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9.bba.new chipdb/chipdb-GW1N-9.bba [ 6%] Generating chipdb/chipdb-GW1N-9C.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1N-9C -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-9C.bba.new cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9C.bba.new chipdb/chipdb-GW1N-9C.bba [ 7%] Generating chipdb/chipdb-GW1NS-2.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1NS-2 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1NS-2.bba.new cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-2.bba.new chipdb/chipdb-GW1NS-2.bba [ 7%] Generating chipdb/chipdb-GW1NS-4.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW1NS-4 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1NS-4.bba.new cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-4.bba.new chipdb/chipdb-GW1NS-4.bba [ 7%] Generating chipdb/chipdb-GW2A-18.bba cd /<>/debian/build/gowin-qt/gowin && /usr/bin/gowin_bba -d GW2A-18 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW2A-18.bba.new [ 4%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc cd /<>/debian/build/gowin-qt/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW2A-18.bba.new chipdb/chipdb-GW2A-18.bba make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 7%] Built target chipdb-gowin-bbas /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/bba /<>/debian/build/gowin-qt/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 8%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/gowin-qt/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -Dbbasm_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc [ 5%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 8%] Linking CXX executable bbasm cd /<>/debian/build/gowin-qt/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 8%] Built target bbasm /usr/bin/make -f generated/gui/gowin/CMakeFiles/gui_gowin_autogen.dir/build.make generated/gui/gowin/CMakeFiles/gui_gowin_autogen.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/gui/gowin /<>/debian/build/gowin-qt/generated/gui/gowin/CMakeFiles/gui_gowin_autogen.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/gui/gowin/CMakeFiles/gui_gowin_autogen.dir/build.make generated/gui/gowin/CMakeFiles/gui_gowin_autogen.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 8%] Automatic MOC for target gui_gowin cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/cmake -E cmake_autogen /<>/debian/build/gowin-qt/generated/gui/gowin/CMakeFiles/gui_gowin_autogen.dir/AutogenInfo.json None cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 3%] Generating chipdb/chipdb-5k.bba cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_up5k.txt /usr/share/fpga-icestorm/chipdb/chipdb-5k.txt > chipdb/chipdb-5k.bba.new [ 6%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/cmake -E touch /<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/timestamp make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 8%] Built target gui_gowin_autogen /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 8%] Automatic MOC for target QtPropertyBrowser cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json None [ 7%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 8%] Built target QtPropertyBrowser_autogen /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/3rdparty/googletest /<>/debian/build/gowin-qt/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 8%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/gowin-qt/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 9%] Linking CXX static library libgtest_main.a cd /<>/debian/build/gowin-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/gowin-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 9%] Built target gtest_main /usr/bin/make -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/CMakeFiles/chipdb-gowin-bins.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 10%] Generating gowin/chipdb/chipdb-GW1N-1.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1N-1.bba gowin/chipdb/chipdb-GW1N-1.bin [ 11%] Generating gowin/chipdb/chipdb-GW1NZ-1.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1NZ-1.bba gowin/chipdb/chipdb-GW1NZ-1.bin [ 12%] Generating gowin/chipdb/chipdb-GW1N-4.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1N-4.bba gowin/chipdb/chipdb-GW1N-4.bin [ 12%] Generating gowin/chipdb/chipdb-GW1N-9.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1N-9.bba gowin/chipdb/chipdb-GW1N-9.bin [ 13%] Generating gowin/chipdb/chipdb-GW1N-9C.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1N-9C.bba gowin/chipdb/chipdb-GW1N-9C.bin [ 14%] Generating gowin/chipdb/chipdb-GW1NS-2.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1NS-2.bba gowin/chipdb/chipdb-GW1NS-2.bin [ 14%] Generating gowin/chipdb/chipdb-GW1NS-4.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW1NS-4.bba gowin/chipdb/chipdb-GW1NS-4.bin [ 14%] Generating gowin/chipdb/chipdb-GW2A-18.bin bba/bbasm --le /<>/debian/build/gowin-qt/gowin/chipdb/chipdb-GW2A-18.bba gowin/chipdb/chipdb-GW2A-18.bin make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 14%] Built target chipdb-gowin-bins /usr/bin/make -f generated/gui/gowin/CMakeFiles/gui_gowin.dir/build.make generated/gui/gowin/CMakeFiles/gui_gowin.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 15%] Generating qrc_nextpnr.cpp cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/lib/qt5/bin/rcc --name nextpnr --output /<>/debian/build/gowin-qt/generated/gui/gowin/qrc_nextpnr.cpp /<>/gui/gowin/nextpnr.qrc [ 15%] Generating qrc_base.cpp cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/lib/qt5/bin/rcc --name base --output /<>/debian/build/gowin-qt/generated/gui/gowin/qrc_base.cpp /<>/gui/base.qrc cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/gui/gowin /<>/debian/build/gowin-qt/generated/gui/gowin/CMakeFiles/gui_gowin.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/gui/gowin/CMakeFiles/gui_gowin.dir/build.make generated/gui/gowin/CMakeFiles/gui_gowin.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 15%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/gui_gowin_autogen/mocs_compilation.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/gui_gowin_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_gowin.dir/gui_gowin_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_gowin.dir/gui_gowin_autogen/mocs_compilation.cpp.o -c /<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/mocs_compilation.cpp [ 7%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 8%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 16%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/application.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/application.cc.o -MF CMakeFiles/gui_gowin.dir/application.cc.o.d -o CMakeFiles/gui_gowin.dir/application.cc.o -c /<>/gui/application.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 9%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 10%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 11%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 12%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 13%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 17%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/basewindow.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/basewindow.cc.o -MF CMakeFiles/gui_gowin.dir/basewindow.cc.o.d -o CMakeFiles/gui_gowin.dir/basewindow.cc.o -c /<>/gui/basewindow.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 14%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 14%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 17%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/designwidget.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/designwidget.cc.o -MF CMakeFiles/gui_gowin.dir/designwidget.cc.o.d -o CMakeFiles/gui_gowin.dir/designwidget.cc.o -c /<>/gui/designwidget.cc [ 18%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/fpgaviewwidget.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_gowin.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_gowin.dir/fpgaviewwidget.cc.o -c /<>/gui/fpgaviewwidget.cc [ 15%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 16%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 18%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/line_editor.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/line_editor.cc.o -MF CMakeFiles/gui_gowin.dir/line_editor.cc.o.d -o CMakeFiles/gui_gowin.dir/line_editor.cc.o -c /<>/gui/line_editor.cc [ 18%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 17%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 4%] Generating chipdb/chipdb-u4k.bba cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_u4k.txt /usr/share/fpga-icestorm/chipdb/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 18%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 19%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/lineshader.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/lineshader.cc.o -MF CMakeFiles/gui_gowin.dir/lineshader.cc.o.d -o CMakeFiles/gui_gowin.dir/lineshader.cc.o -c /<>/gui/lineshader.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 19%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 20%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/pyconsole.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/pyconsole.cc.o -MF CMakeFiles/gui_gowin.dir/pyconsole.cc.o.d -o CMakeFiles/gui_gowin.dir/pyconsole.cc.o -c /<>/gui/pyconsole.cc [ 20%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 20%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/pythontab.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/pythontab.cc.o -MF CMakeFiles/gui_gowin.dir/pythontab.cc.o.d -o CMakeFiles/gui_gowin.dir/pythontab.cc.o -c /<>/gui/pythontab.cc [ 21%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 21%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 21%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/treemodel.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/treemodel.cc.o -MF CMakeFiles/gui_gowin.dir/treemodel.cc.o.d -o CMakeFiles/gui_gowin.dir/treemodel.cc.o -c /<>/gui/treemodel.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 22%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/worker.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/worker.cc.o -MF CMakeFiles/gui_gowin.dir/worker.cc.o.d -o CMakeFiles/gui_gowin.dir/worker.cc.o -c /<>/gui/worker.cc [ 22%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 23%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 22%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/gowin/mainwindow.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/gowin/mainwindow.cc.o -MF CMakeFiles/gui_gowin.dir/gowin/mainwindow.cc.o.d -o CMakeFiles/gui_gowin.dir/gowin/mainwindow.cc.o -c /<>/gui/gowin/mainwindow.cc [ 25%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 5%] Generating chipdb/chipdb-8k.bba cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx8k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp8k.txt /usr/share/fpga-icestorm/chipdb/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 24%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 23%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /<>/3rdparty/python-console/ColumnFormatter.cpp [ 23%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /<>/3rdparty/python-console/ParseHelper.cpp [ 24%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 26%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 25%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 25%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 26%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /<>/3rdparty/python-console/ParseMessage.cpp [ 27%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /<>/3rdparty/python-console/modified/pyredirector.cc [ 27%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /<>/3rdparty/python-console/modified/pyinterpreter.cc [ 28%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /<>/3rdparty/imgui/imgui_widgets.cpp [ 28%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /<>/3rdparty/imgui/imgui_draw.cpp [ 25%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 29%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui.cpp.o -c /<>/3rdparty/imgui/imgui.cpp [ 30%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /<>/3rdparty/imgui/imgui_demo.cpp [ 30%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /<>/3rdparty/qtimgui/ImGuiRenderer.cpp [ 27%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 31%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /<>/3rdparty/qtimgui/QtImGui.cpp [ 26%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 31%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/qrc_base.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/qrc_base.cpp.o -MF CMakeFiles/gui_gowin.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_gowin.dir/qrc_base.cpp.o -c /<>/debian/build/gowin-qt/generated/gui/gowin/qrc_base.cpp [ 32%] Building CXX object generated/gui/gowin/CMakeFiles/gui_gowin.dir/qrc_nextpnr.cpp.o cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/gui/gowin -I/<>/gui -I/<>/debian/build/gowin-qt/generated/gui/gowin/gui_gowin_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../gowin -I/<>/gui/gowin -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/gowin-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/gowin/CMakeFiles/gui_gowin.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_gowin.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_gowin.dir/qrc_nextpnr.cpp.o -c /<>/debian/build/gowin-qt/generated/gui/gowin/qrc_nextpnr.cpp [ 33%] Linking CXX static library libgui_gowin.a cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/cmake -P CMakeFiles/gui_gowin.dir/cmake_clean_target.cmake cd /<>/debian/build/gowin-qt/generated/gui/gowin && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_gowin.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-13" qc libgui_gowin.a CMakeFiles/gui_gowin.dir/gui_gowin_autogen/mocs_compilation.cpp.o CMakeFiles/gui_gowin.dir/application.cc.o CMakeFiles/gui_gowin.dir/basewindow.cc.o CMakeFiles/gui_gowin.dir/designwidget.cc.o CMakeFiles/gui_gowin.dir/fpgaviewwidget.cc.o CMakeFiles/gui_gowin.dir/line_editor.cc.o CMakeFiles/gui_gowin.dir/lineshader.cc.o CMakeFiles/gui_gowin.dir/pyconsole.cc.o CMakeFiles/gui_gowin.dir/pythontab.cc.o CMakeFiles/gui_gowin.dir/treemodel.cc.o CMakeFiles/gui_gowin.dir/worker.cc.o CMakeFiles/gui_gowin.dir/gowin/mainwindow.cc.o "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_gowin.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_gowin.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_gowin.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_gowin.dir/qrc_base.cpp.o CMakeFiles/gui_gowin.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib-13" libgui_gowin.a make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 34%] Built target gui_gowin /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 34%] Generating qrc_qtpropertybrowser.cpp cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/lib/qt5/bin/rcc --name qtpropertybrowser --output /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 34%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 28%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 35%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 36%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 27%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 29%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 36%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 37%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 28%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 37%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 30%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 38%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 28%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 39%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 29%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 30%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 31%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 31%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 39%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 32%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 32%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 33%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 40%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 41%] Linking CXX static library libQtPropertyBrowser.a cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /<>/debian/build/gowin-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-13" qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib-13" libQtPropertyBrowser.a make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 42%] Built target QtPropertyBrowser /usr/bin/make -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/CMakeFiles/chipdb-gowin.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' make[5]: Nothing to be done for 'CMakeFiles/chipdb-gowin.dir/build'. make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 42%] Built target chipdb-gowin /usr/bin/make -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/CMakeFiles/nextpnr-gowin.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 43%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 32%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 34%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 44%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /<>/ice40/arch.cc [ 35%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /<>/generic/arch.cc [ 44%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 45%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /<>/ice40/arch_place.cc [ 36%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /<>/generic/arch_pybindings.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /<>/ice40/arch_pybindings.cc [ 45%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 46%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /<>/ice40/bitstream.cc [ 37%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /<>/generic/cells.cc [ 47%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 38%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /<>/generic/main.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /<>/ice40/cells.cc cd /<>/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 5%] Built target chipdb-ice40-bbas /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend [ 47%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/bba /<>/debian/build/ice40-qt/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 6%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/ice40-qt/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -Dbbasm_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /<>/ice40/chains.cc [ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /<>/generic/pack.cc [ 6%] Linking CXX executable bbasm cd /<>/debian/build/ice40-qt/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 6%] Built target bbasm /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 6%] Automatic MOC for target QtPropertyBrowser cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json None cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 6%] Built target QtPropertyBrowser_autogen /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/gui/ice40 /<>/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 7%] Automatic MOC for target gui_ice40 cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /<>/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json None [ 48%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /<>/ice40/delay.cc [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /<>/generic/viaduct_api.cc [ 49%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /<>/ice40/gfx.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /<>/generic/viaduct_helpers.cc cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E touch /<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/timestamp make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 7%] Built target gui_ice40_autogen /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/3rdparty/googletest /<>/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 8%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 49%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 8%] Linking CXX static library libgtest_main.a cd /<>/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 8%] Built target gtest_main /usr/bin/make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 9%] Generating ice40/chipdb/chipdb-384.bin bba/bbasm --le /<>/debian/build/ice40-qt/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.bin [ 50%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 50%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 9%] Generating ice40/chipdb/chipdb-1k.bin bba/bbasm --le /<>/debian/build/ice40-qt/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.bin [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /<>/ice40/main.cc [ 51%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 52%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 9%] Generating ice40/chipdb/chipdb-5k.bin bba/bbasm --le /<>/debian/build/ice40-qt/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.bin [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /<>/generic/viaduct/example/example.cc [ 10%] Generating ice40/chipdb/chipdb-u4k.bin bba/bbasm --le /<>/debian/build/ice40-qt/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.bin [ 52%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /<>/ice40/pack.cc [ 53%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /<>/generic/viaduct/okami/okami.cc [ 11%] Generating ice40/chipdb/chipdb-8k.bin bba/bbasm --le /<>/debian/build/ice40-qt/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.bin [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /<>/generic/viaduct/fabulous/fabulous.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /<>/ice40/pcf.cc make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 11%] Built target chipdb-ice40-bins /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 11%] Generating qrc_qtpropertybrowser.cpp cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/lib/qt5/bin/rcc --name qtpropertybrowser --output /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 11%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 12%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 43%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" -o nextpnr-ice40 /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /<>/generic/viaduct/fabulous/fasm.cc [ 13%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 54%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /<>/generic/viaduct/fabulous/pack.cc [ 13%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 14%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 54%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 14%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /<>/generic/viaduct/fabulous/validity_check.cc [ 15%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 55%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 55%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 47%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so [ 16%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 16%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 56%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc make[5]: Leaving directory '/<>/debian/build/ice40' [ 43%] Built target nextpnr-ice40 /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ice40 /<>/debian/build/ice40/generated/3rdparty/googletest /<>/debian/build/ice40/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Entering directory '/<>/debian/build/ice40' [ 44%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 18%] Linking CXX static library libQtPropertyBrowser.a cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /<>/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-13" qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib-13" libQtPropertyBrowser.a make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 19%] Built target QtPropertyBrowser /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 19%] Generating qrc_nextpnr.cpp cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/lib/qt5/bin/rcc --name nextpnr --output /<>/debian/build/ice40-qt/generated/gui/ice40/qrc_nextpnr.cpp /<>/gui/ice40/nextpnr.qrc [ 20%] Generating qrc_base.cpp cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/lib/qt5/bin/rcc --name base --output /<>/debian/build/ice40-qt/generated/gui/ice40/qrc_base.cpp /<>/gui/base.qrc cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/generated/gui/ice40 /<>/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 57%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 45%] Linking CXX static library libgtest.a cd /<>/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /<>/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[5]: Leaving directory '/<>/debian/build/ice40' [ 45%] Built target gtest /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/ice40 /<>/debian/build/ice40/bba /<>/debian/build/ice40/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/ice40' [ 45%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/ice40/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /<>/gui/application.cc [ 57%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 46%] Linking CXX executable bbasm cd /<>/debian/build/ice40/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/ice40' [ 46%] Built target bbasm /usr/bin/make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/ice40 /<>/debian/build/ice40 /<>/debian/build/ice40/ice40 /<>/debian/build/ice40/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build make[5]: Entering directory '/<>/debian/build/ice40' [ 47%] Generating chipdb/chipdb-384.bba cd /<>/debian/build/ice40/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new make[5]: Leaving directory '/<>/debian/build/generic' [ 47%] Built target nextpnr-generic /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[5]: Entering directory '/<>/debian/build/generic' cd /<>/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/generic /<>/debian/build/generic/generated/3rdparty/googletest /<>/debian/build/generic/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/generic' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Entering directory '/<>/debian/build/generic' [ 48%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /<>/gui/basewindow.cc [ 58%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc cd /<>/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 48%] Generating chipdb/chipdb-1k.bba cd /<>/debian/build/ice40/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx1k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp1k.txt /usr/share/fpga-icestorm/chipdb/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 49%] Linking CXX static library libgtest.a cd /<>/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /<>/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[5]: Leaving directory '/<>/debian/build/generic' [ 49%] Built target gtest /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[5]: Entering directory '/<>/debian/build/generic' cd /<>/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/generic /<>/debian/build/generic/bba /<>/debian/build/generic/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/generic' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/generic' [ 49%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/generic/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc [ 59%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /<>/gui/designwidget.cc [ 50%] Linking CXX executable bbasm cd /<>/debian/build/generic/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/generic' [ 50%] Built target bbasm /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/generic' cd /<>/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/generic /<>/debian/build/generic/generated/3rdparty/googletest /<>/debian/build/generic/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/generic' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/generic' [ 51%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 52%] Linking CXX static library libgtest_main.a cd /<>/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/generic' [ 52%] Built target gtest_main /usr/bin/make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/depend make[5]: Entering directory '/<>/debian/build/generic' cd /<>/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/generic /<>/debian/build/generic /<>/debian/build/generic/CMakeFiles/nextpnr-generic-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/generic' /usr/bin/make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/build make[5]: Entering directory '/<>/debian/build/generic' [ 53%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 59%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /<>/gui/fpgaviewwidget.cc cd /<>/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 49%] Generating chipdb/chipdb-5k.bba cd /<>/debian/build/ice40/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_up5k.txt /usr/share/fpga-icestorm/chipdb/chipdb-5k.txt > chipdb/chipdb-5k.bba.new [ 54%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 60%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /<>/gui/line_editor.cc [ 60%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /<>/gui/lineshader.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 61%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /<>/gui/pyconsole.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 62%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 59%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /<>/gui/pythontab.cc [ 62%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 60%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /<>/gui/treemodel.cc [ 61%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 63%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 63%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 64%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 65%] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /<>/gui/worker.cc [ 62%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 65%] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 62%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /<>/gui/ice40/mainwindow.cc [ 63%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 64%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 65%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 66%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 66%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -c /<>/gowin/arch.cc cd /<>/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 50%] Generating chipdb/chipdb-u4k.bba cd /<>/debian/build/ice40/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_u4k.txt /usr/share/fpga-icestorm/chipdb/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 67%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 68%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /<>/3rdparty/python-console/ColumnFormatter.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /<>/3rdparty/python-console/ParseHelper.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /<>/3rdparty/python-console/ParseMessage.cpp [ 32%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /<>/3rdparty/python-console/modified/pyredirector.cc [ 32%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /<>/3rdparty/python-console/modified/pyinterpreter.cc [ 67%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -c /<>/gowin/arch_pybindings.cc [ 33%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /<>/3rdparty/imgui/imgui_widgets.cpp [ 34%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /<>/3rdparty/imgui/imgui_draw.cpp [ 34%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /<>/3rdparty/imgui/imgui.cpp [ 35%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /<>/3rdparty/imgui/imgui_demo.cpp [ 36%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /<>/3rdparty/qtimgui/ImGuiRenderer.cpp [ 36%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /<>/3rdparty/qtimgui/QtImGui.cpp [ 69%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /<>/debian/build/ice40-qt/generated/gui/ice40/qrc_base.cpp [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ice40-qt/generated/gui/ice40 -I/<>/gui -I/<>/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ice40 -I/<>/gui/ice40 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ice40-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /<>/debian/build/ice40-qt/generated/gui/ice40/qrc_nextpnr.cpp [ 38%] Linking CXX static library libgui_ice40.a cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /<>/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-13" qc libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib-13" libgui_ice40.a make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 39%] Built target gui_ice40 /usr/bin/make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' make[5]: Nothing to be done for 'CMakeFiles/chipdb-ice40.dir/build'. make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 39%] Built target chipdb-ice40 /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 67%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -c /<>/gowin/cells.cc [ 70%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 68%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -c /<>/gowin/cst.cc [ 71%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 72%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 68%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -c /<>/gowin/gfx.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 73%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc cd /<>/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 51%] Generating chipdb/chipdb-8k.bba cd /<>/debian/build/ice40/ice40 && /usr/bin/python3 /<>/ice40/chipdb.py -p /<>/ice40/constids.inc -g /<>/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx8k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp8k.txt /usr/share/fpga-icestorm/chipdb/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 74%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 75%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 69%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -c /<>/gowin/globals.cc [ 75%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 70%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -c /<>/gowin/main.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 76%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 70%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -c /<>/gowin/pack.cc [ 77%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 71%] Linking CXX executable nextpnr-gowin /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o" -o nextpnr-gowin /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread generated/gui/gowin/libgui_gowin.a /usr/lib/riscv64-linux-gnu/libQt5OpenGL.so.5.15.13 /usr/lib/riscv64-linux-gnu/libOpenGL.so /usr/lib/riscv64-linux-gnu/libGLX.so /usr/lib/riscv64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/riscv64-linux-gnu/libpython3.12.so /usr/lib/riscv64-linux-gnu/libQt5Widgets.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Gui.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Core.so.5.15.13 [ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 78%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 48%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 79%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 49%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 49%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 80%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 81%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 82%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 83%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 84%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 85%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 86%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 87%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 87%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o -c /<>/generic/arch.cc cd /<>/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba make[5]: Leaving directory '/<>/debian/build/ice40' [ 51%] Built target chipdb-ice40-bbas /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ice40 /<>/debian/build/ice40/generated/3rdparty/googletest /<>/debian/build/ice40/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/ice40' [ 52%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 53%] Linking CXX static library libgtest_main.a cd /<>/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/ice40' [ 53%] Built target gtest_main /usr/bin/make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40 /<>/debian/build/ice40 /<>/debian/build/ice40/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build make[5]: Entering directory '/<>/debian/build/ice40' [ 53%] Generating ice40/chipdb/chipdb-384.bin bba/bbasm --le /<>/debian/build/ice40/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.bin [ 54%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 54%] Generating ice40/chipdb/chipdb-1k.bin bba/bbasm --le /<>/debian/build/ice40/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.bin [ 55%] Generating ice40/chipdb/chipdb-5k.bin bba/bbasm --le /<>/debian/build/ice40/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.bin [ 88%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o -c /<>/generic/arch_pybindings.cc [ 56%] Generating ice40/chipdb/chipdb-u4k.bin bba/bbasm --le /<>/debian/build/ice40/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.bin [ 54%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 57%] Generating ice40/chipdb/chipdb-8k.bin bba/bbasm --le /<>/debian/build/ice40/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.bin [ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc make[5]: Leaving directory '/<>/debian/build/ice40' [ 57%] Built target chipdb-ice40-bins /usr/bin/make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40 /<>/debian/build/ice40 /<>/debian/build/ice40/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/build make[5]: Entering directory '/<>/debian/build/ice40' [ 57%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 89%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o -c /<>/generic/cells.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 90%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o -c /<>/generic/main.cc [ 91%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o -c /<>/generic/pack.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc /usr/src/nextpnr-0.7-1/gowin/gfx.cc: In function ‘__static_initialization_and_destruction_0’: /usr/src/nextpnr-0.7-1/gowin/gfx.cc:5830:1: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without [ 60%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 92%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o -c /<>/generic/viaduct_api.cc make[5]: Leaving directory '/<>/debian/build/gowin-qt' [ 71%] Built target nextpnr-gowin /usr/bin/make -f CMakeFiles/nextpnr-gowin-test.dir/build.make CMakeFiles/nextpnr-gowin-test.dir/depend make[5]: Entering directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/gowin-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt /<>/debian/build/gowin-qt/CMakeFiles/nextpnr-gowin-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/make -f CMakeFiles/nextpnr-gowin-test.dir/build.make CMakeFiles/nextpnr-gowin-test.dir/build make[5]: Entering directory '/<>/debian/build/gowin-qt' [ 72%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 93%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o -c /<>/generic/viaduct_helpers.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 72%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 94%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o -c /<>/generic/viaduct/example/example.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 95%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o -c /<>/generic/viaduct/okami/okami.cc [ 73%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 73%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 96%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o -c /<>/generic/viaduct/fabulous/fabulous.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 97%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o -c /<>/generic/viaduct/fabulous/fasm.cc [ 74%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 98%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o -c /<>/generic/viaduct/fabulous/pack.cc [ 75%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 99%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/generic -I/<>/debian/build/generic/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o -c /<>/generic/viaduct/fabulous/validity_check.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 75%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [100%] Linking CXX executable nextpnr-generic-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a [ 76%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /<>/ice40/arch.cc [ 77%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 77%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /<>/ice40/arch_place.cc [ 78%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 78%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc make[5]: Leaving directory '/<>/debian/build/generic' [100%] Built target nextpnr-generic-test make[4]: Leaving directory '/<>/debian/build/generic' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/generic/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/generic' cd /<>/debian/build/gowin && exec /usr/bin/make "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/gowin' /usr/bin/cmake -S/<> -B/<>/debian/build/gowin --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /<>/debian/build/gowin/CMakeFiles /<>/debian/build/gowin//CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all make[4]: Entering directory '/<>/debian/build/gowin' /usr/bin/make -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin /<>/debian/build/gowin /<>/debian/build/gowin/CMakeFiles/nextpnr-gowin.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 1%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /<>/ice40/arch_pybindings.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 2%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 2%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 3%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /<>/ice40/bitstream.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 4%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /<>/ice40/cells.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 5%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /<>/ice40/chains.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 6%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /<>/ice40/delay.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 7%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /<>/ice40/gfx.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /<>/ice40/main.cc [ 8%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 9%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /<>/ice40/pack.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 9%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 10%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 11%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 12%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 13%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /<>/ice40/pcf.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 14%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 15%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 69%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" -o nextpnr-ice40 /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/riscv64-linux-gnu/libQt5OpenGL.so.5.15.13 /usr/lib/riscv64-linux-gnu/libOpenGL.so /usr/lib/riscv64-linux-gnu/libGLX.so /usr/lib/riscv64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/riscv64-linux-gnu/libpython3.12.so /usr/lib/riscv64-linux-gnu/libQt5Widgets.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Gui.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Core.so.5.15.13 [ 87%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 16%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 16%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 17%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 18%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 19%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 90%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc make[5]: Leaving directory '/<>/debian/build/ice40-qt' [ 69%] Built target nextpnr-ice40 /usr/bin/make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/depend make[5]: Entering directory '/<>/debian/build/ice40-qt' cd /<>/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt /<>/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/build make[5]: Entering directory '/<>/debian/build/ice40-qt' [ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 20%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 90%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 21%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /<>/ice40/arch.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 22%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /<>/ice40/arch_place.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 23%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 92%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 93%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 93%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -c /<>/ice40/arch_pybindings.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 23%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 94%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 24%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 95%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o -c /<>/gowin/arch.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -c /<>/ice40/bitstream.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 25%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -c /<>/ice40/cells.cc [ 95%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o -c /<>/gowin/arch_pybindings.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -c /<>/ice40/chains.cc [ 26%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -c /<>/ice40/delay.cc [ 27%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 96%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o -c /<>/gowin/cells.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -c /<>/ice40/gfx.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 96%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o -c /<>/gowin/cst.cc [ 28%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -c /<>/ice40/main.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -c /<>/ice40/pack.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 97%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o -c /<>/gowin/gfx.cc [ 29%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 99%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -c /<>/ice40/pcf.cc [ 30%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 31%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 31%] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [100%] Linking CXX executable nextpnr-ice40-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o" -o nextpnr-ice40-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a [ 32%] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 33%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -c /<>/gowin/arch.cc [ 98%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o -c /<>/gowin/globals.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 98%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o -c /<>/gowin/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_gowin_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin-qt/generated -I/<>/rust -I/<>/gui/gowin -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o -c /<>/gowin/pack.cc [ 34%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -c /<>/gowin/arch_pybindings.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc make[5]: Leaving directory '/<>/debian/build/ice40' [100%] Built target nextpnr-ice40-test /usr/bin/make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend make[5]: Entering directory '/<>/debian/build/ice40' cd /<>/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ice40 /<>/debian/build/ice40 /<>/debian/build/ice40/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ice40' /usr/bin/make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build make[5]: Entering directory '/<>/debian/build/ice40' make[5]: Nothing to be done for 'CMakeFiles/chipdb-ice40.dir/build'. make[5]: Leaving directory '/<>/debian/build/ice40' [100%] Built target chipdb-ice40 make[4]: Leaving directory '/<>/debian/build/ice40' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ice40/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/ice40' [ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [100%] Linking CXX executable nextpnr-gowin-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o" -o nextpnr-gowin-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread generated/gui/gowin/libgui_gowin.a /usr/lib/riscv64-linux-gnu/libQt5OpenGL.so.5.15.13 /usr/lib/riscv64-linux-gnu/libOpenGL.so /usr/lib/riscv64-linux-gnu/libGLX.so /usr/lib/riscv64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a /usr/lib/riscv64-linux-gnu/libQt5Widgets.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Gui.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Core.so.5.15.13 /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/gowin /<>/debian/build/gowin/generated/3rdparty/googletest /<>/debian/build/gowin/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 35%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/gowin/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc [ 36%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -c /<>/gowin/cells.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 37%] Linking CXX static library libgtest.a cd /<>/debian/build/gowin/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /<>/debian/build/gowin/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[5]: Leaving directory '/<>/debian/build/gowin' [ 37%] Built target gtest [ 38%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -c /<>/gowin/cst.cc [ 39%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -c /<>/gowin/gfx.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 40%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -c /<>/gowin/globals.cc [ 40%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -c /<>/gowin/main.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 41%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -c /<>/gowin/pack.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc /usr/bin/make -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gowin /<>/debian/build/gowin /<>/debian/build/gowin/gowin /<>/debian/build/gowin/gowin/CMakeFiles/chipdb-gowin-bbas.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 42%] Generating chipdb/chipdb-GW1N-1.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1N-1 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-1.bba.new cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-1.bba.new chipdb/chipdb-GW1N-1.bba [ 42%] Generating chipdb/chipdb-GW1NZ-1.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1NZ-1 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1NZ-1.bba.new [ 43%] Linking CXX executable nextpnr-gowin /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o" -o nextpnr-gowin /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NZ-1.bba.new chipdb/chipdb-GW1NZ-1.bba [ 44%] Generating chipdb/chipdb-GW1N-4.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1N-4 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-4.bba.new cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-4.bba.new chipdb/chipdb-GW1N-4.bba [ 45%] Generating chipdb/chipdb-GW1N-9.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1N-9 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-9.bba.new [ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9.bba.new chipdb/chipdb-GW1N-9.bba [ 46%] Generating chipdb/chipdb-GW1N-9C.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1N-9C -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1N-9C.bba.new cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9C.bba.new chipdb/chipdb-GW1N-9C.bba [ 47%] Generating chipdb/chipdb-GW1NS-2.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1NS-2 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1NS-2.bba.new cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-2.bba.new chipdb/chipdb-GW1NS-2.bba [ 48%] Generating chipdb/chipdb-GW1NS-4.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW1NS-4 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW1NS-4.bba.new cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-4.bba.new chipdb/chipdb-GW1NS-4.bba [ 49%] Generating chipdb/chipdb-GW2A-18.bba cd /<>/debian/build/gowin/gowin && /usr/bin/gowin_bba -d GW2A-18 -i /<>/gowin/constids.inc -o chipdb/chipdb-GW2A-18.bba.new [ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc cd /<>/debian/build/gowin/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW2A-18.bba.new chipdb/chipdb-GW2A-18.bba make[5]: Leaving directory '/<>/debian/build/gowin' [ 49%] Built target chipdb-gowin-bbas [ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc make[5]: Leaving directory '/<>/debian/build/gowin' [ 49%] Built target nextpnr-gowin /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/gowin /<>/debian/build/gowin/bba /<>/debian/build/gowin/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 49%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/gowin/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 50%] Linking CXX executable bbasm cd /<>/debian/build/gowin/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/gowin' [ 50%] Built target bbasm /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/gowin /<>/debian/build/gowin/generated/3rdparty/googletest /<>/debian/build/gowin/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 51%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/gowin/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 52%] Linking CXX static library libgtest_main.a cd /<>/debian/build/gowin/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/gowin/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/gowin' [ 52%] Built target gtest_main /usr/bin/make -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin /<>/debian/build/gowin /<>/debian/build/gowin/CMakeFiles/chipdb-gowin-bins.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 53%] Generating gowin/chipdb/chipdb-GW1N-1.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1N-1.bba gowin/chipdb/chipdb-GW1N-1.bin [ 53%] Generating gowin/chipdb/chipdb-GW1NZ-1.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1NZ-1.bba gowin/chipdb/chipdb-GW1NZ-1.bin [ 54%] Generating gowin/chipdb/chipdb-GW1N-4.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1N-4.bba gowin/chipdb/chipdb-GW1N-4.bin [ 89%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 55%] Generating gowin/chipdb/chipdb-GW1N-9.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1N-9.bba gowin/chipdb/chipdb-GW1N-9.bin [ 56%] Generating gowin/chipdb/chipdb-GW1N-9C.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1N-9C.bba gowin/chipdb/chipdb-GW1N-9C.bin [ 57%] Generating gowin/chipdb/chipdb-GW1NS-2.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1NS-2.bba gowin/chipdb/chipdb-GW1NS-2.bin [ 58%] Generating gowin/chipdb/chipdb-GW1NS-4.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW1NS-4.bba gowin/chipdb/chipdb-GW1NS-4.bin [ 59%] Generating gowin/chipdb/chipdb-GW2A-18.bin bba/bbasm --le /<>/debian/build/gowin/gowin/chipdb/chipdb-GW2A-18.bba gowin/chipdb/chipdb-GW2A-18.bin make[5]: Leaving directory '/<>/debian/build/gowin' [ 59%] Built target chipdb-gowin-bins /usr/bin/make -f CMakeFiles/nextpnr-gowin-test.dir/build.make CMakeFiles/nextpnr-gowin-test.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin /<>/debian/build/gowin /<>/debian/build/gowin/CMakeFiles/nextpnr-gowin-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f CMakeFiles/nextpnr-gowin-test.dir/build.make CMakeFiles/nextpnr-gowin-test.dir/build make[5]: Entering directory '/<>/debian/build/gowin' [ 60%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 61%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /<>/ice40/arch.cc [ 62%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 63%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /<>/ice40/arch_place.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -c /<>/ice40/arch_pybindings.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -c /<>/ice40/bitstream.cc [ 64%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -c /<>/ice40/cells.cc [ 64%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -c /<>/ice40/chains.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -c /<>/ice40/delay.cc [ 65%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -c /<>/ice40/gfx.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -c /<>/ice40/main.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -c /<>/ice40/pack.cc [ 66%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc /usr/src/nextpnr-0.7-1/gowin/gfx.cc: In function ‘__static_initialization_and_destruction_0’: /usr/src/nextpnr-0.7-1/gowin/gfx.cc:5830:1: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without [ 99%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ice40 -I/<>/debian/build/ice40-qt/generated -I/<>/rust -I/<>/gui/ice40 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -c /<>/ice40/pcf.cc make[5]: Leaving directory '/<>/debian/build/gowin-qt' [100%] Built target nextpnr-gowin-test make[4]: Leaving directory '/<>/debian/build/gowin-qt' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/gowin-qt/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/gowin-qt' cd /<>/debian/build/ecp5-qt && exec /usr/bin/make "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/ecp5-qt' /usr/bin/cmake -S/<> -B/<>/debian/build/ecp5-qt --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ecp5-qt/CMakeFiles /<>/debian/build/ecp5-qt//CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all make[4]: Entering directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/gui/ecp5 /<>/debian/build/ecp5-qt/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' make[5]: Nothing to be done for 'generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build'. make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 0%] Built target gui_ecp5_autogen_timestamp_deps /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' make[5]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/3rdparty/googletest /<>/debian/build/ecp5-qt/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 1%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc /usr/bin/make -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/ecp5 /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/ecp5 /<>/debian/build/ecp5-qt/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 2%] Generating chipdb/chipdb-25k.bba cd /<>/debian/build/ecp5-qt/ecp5 && /usr/bin/python3 /<>/ecp5/trellis_import.py -L /usr/lib/riscv64-linux-gnu/trellis/ -L /usr/share/trellis//util/common -L /usr/share/trellis//timing/util -p /<>/ecp5/constids.inc -g /<>/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 67%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [100%] Linking CXX executable nextpnr-ice40-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o" -o nextpnr-ice40-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/riscv64-linux-gnu/libQt5OpenGL.so.5.15.13 /usr/lib/riscv64-linux-gnu/libOpenGL.so /usr/lib/riscv64-linux-gnu/libGLX.so /usr/lib/riscv64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a /usr/lib/riscv64-linux-gnu/libQt5Widgets.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Gui.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Core.so.5.15.13 [ 68%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 2%] Linking CXX static library libgtest.a cd /<>/debian/build/ecp5-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /<>/debian/build/ecp5-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 2%] Built target gtest /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/bba /<>/debian/build/ecp5-qt/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 3%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/ecp5-qt/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -Dbbasm_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc [ 69%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 70%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 71%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 71%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 72%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 3%] Linking CXX executable bbasm cd /<>/debian/build/ecp5-qt/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 3%] Built target bbasm cd /<>/debian/build/ecp5 && exec /usr/bin/make "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/ecp5' /usr/bin/cmake -S/<> -B/<>/debian/build/ecp5 --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ecp5/CMakeFiles /<>/debian/build/ecp5//CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all make[4]: Entering directory '/<>/debian/build/ecp5' /usr/bin/make -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5 /<>/debian/build/ecp5 /<>/debian/build/ecp5/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 1%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 73%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 74%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 2%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 3%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 4%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 75%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 4%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc make[5]: Leaving directory '/<>/debian/build/ice40-qt' [100%] Built target nextpnr-ice40-test make[4]: Leaving directory '/<>/debian/build/ice40-qt' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ice40-qt/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/ice40-qt' [ 5%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 76%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 6%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 7%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 77%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 78%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 8%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 78%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 9%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 10%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 11%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 11%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 12%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 13%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 14%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 15%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 16%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 17%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 18%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 18%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 19%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 20%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 21%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 22%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 23%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 89%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 24%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 90%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 92%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 92%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o -c /<>/gowin/arch.cc [ 25%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 93%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o -c /<>/gowin/arch_pybindings.cc cd /<>/debian/build/ecp5-qt/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 4%] Generating chipdb/chipdb-45k.bba cd /<>/debian/build/ecp5-qt/ecp5 && /usr/bin/python3 /<>/ecp5/trellis_import.py -L /usr/lib/riscv64-linux-gnu/trellis/ -L /usr/share/trellis//util/common -L /usr/share/trellis//timing/util -p /<>/ecp5/constids.inc -g /<>/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new [ 94%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o -c /<>/gowin/cells.cc [ 25%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 95%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o -c /<>/gowin/cst.cc [ 96%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o -c /<>/gowin/gfx.cc [ 26%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 97%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o -c /<>/gowin/globals.cc [ 98%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o -c /<>/gowin/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o /usr/bin/c++ -DARCHNAME=gowin -DARCH_GOWIN -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gowin -I/<>/debian/build/gowin/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o -c /<>/gowin/pack.cc [ 27%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 28%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [100%] Linking CXX executable nextpnr-gowin-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o" -o nextpnr-gowin-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ecp5 /<>/debian/build/ecp5/generated/3rdparty/googletest /<>/debian/build/ecp5/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 28%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /<>/debian/build/ecp5/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest-all.cc [ 29%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 30%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 31%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 32%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 32%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 33%] Linking CXX static library libgtest.a cd /<>/debian/build/ecp5/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /<>/debian/build/ecp5/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[5]: Leaving directory '/<>/debian/build/ecp5' [ 33%] Built target gtest [ 34%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /<>/ecp5/arch.cc make[5]: Leaving directory '/<>/debian/build/gowin' [100%] Built target nextpnr-gowin-test /usr/bin/make -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/depend make[5]: Entering directory '/<>/debian/build/gowin' cd /<>/debian/build/gowin && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/gowin /<>/debian/build/gowin /<>/debian/build/gowin/CMakeFiles/chipdb-gowin.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/gowin' /usr/bin/make -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/build make[5]: Entering directory '/<>/debian/build/gowin' make[5]: Nothing to be done for 'CMakeFiles/chipdb-gowin.dir/build'. make[5]: Leaving directory '/<>/debian/build/gowin' [100%] Built target chipdb-gowin make[4]: Leaving directory '/<>/debian/build/gowin' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/gowin/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/gowin' [ 35%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /<>/ecp5/arch_place.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /<>/ecp5/arch_pybindings.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /<>/ecp5/baseconfigs.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /<>/ecp5/bitstream.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /<>/ecp5/cells.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /<>/ecp5/config.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /<>/ecp5/gfx.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /<>/ecp5/globals.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /<>/ecp5/lpf.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /<>/ecp5/main.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /<>/ecp5/pack.cc [ 45%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /<>/ecp5/pio.cc /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/bba /<>/debian/build/ecp5 /<>/debian/build/ecp5/bba /<>/debian/build/ecp5/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 45%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /<>/debian/build/ecp5/bba && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /<>/bba/main.cc /usr/bin/make -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/ecp5 /<>/debian/build/ecp5 /<>/debian/build/ecp5/ecp5 /<>/debian/build/ecp5/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 46%] Generating chipdb/chipdb-25k.bba cd /<>/debian/build/ecp5/ecp5 && /usr/bin/python3 /<>/ecp5/trellis_import.py -L /usr/lib/riscv64-linux-gnu/trellis/ -L /usr/share/trellis//util/common -L /usr/share/trellis//timing/util -p /<>/ecp5/constids.inc -g /<>/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 47%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" -o nextpnr-ecp5 /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so [ 48%] Linking CXX executable bbasm cd /<>/debian/build/ecp5/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_system.so make[5]: Leaving directory '/<>/debian/build/ecp5' [ 48%] Built target bbasm /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ecp5 /<>/debian/build/ecp5/generated/3rdparty/googletest /<>/debian/build/ecp5/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 49%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/ecp5/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNO_GUI -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 50%] Linking CXX static library libgtest_main.a cd /<>/debian/build/ecp5/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/ecp5/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/ecp5' [ 50%] Built target gtest_main /usr/bin/make -f CMakeFiles/nextpnr-ecp5-test.dir/build.make CMakeFiles/nextpnr-ecp5-test.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5 /<>/debian/build/ecp5 /<>/debian/build/ecp5/CMakeFiles/nextpnr-ecp5-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f CMakeFiles/nextpnr-ecp5-test.dir/build.make CMakeFiles/nextpnr-ecp5-test.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc make[5]: Leaving directory '/<>/debian/build/ecp5' [ 54%] Built target nextpnr-ecp5 [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc cd /<>/debian/build/ecp5-qt/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 4%] Generating chipdb/chipdb-85k.bba cd /<>/debian/build/ecp5-qt/ecp5 && /usr/bin/python3 /<>/ecp5/trellis_import.py -L /usr/lib/riscv64-linux-gnu/trellis/ -L /usr/share/trellis//util/common -L /usr/share/trellis//timing/util -p /<>/ecp5/constids.inc -g /<>/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc /usr/bin/make -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/gui/ecp5 /<>/debian/build/ecp5-qt/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 4%] Automatic MOC for target gui_ecp5 cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/cmake -E cmake_autogen /<>/debian/build/ecp5-qt/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenInfo.json None [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/cmake -E touch /<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/timestamp make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 4%] Built target gui_ecp5_autogen /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 4%] Automatic MOC for target QtPropertyBrowser cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json None cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 4%] Built target QtPropertyBrowser_autogen /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/googletest/googletest /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/3rdparty/googletest /<>/debian/build/ecp5-qt/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 5%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/googletest && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -I/<>/3rdparty/googletest/googletest/include -I/<>/3rdparty/googletest/googletest -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /<>/3rdparty/googletest/googletest/src/gtest_main.cc [ 6%] Linking CXX static library libgtest_main.a cd /<>/debian/build/ecp5-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /<>/debian/build/ecp5-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 6%] Built target gtest_main /usr/bin/make -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 7%] Generating qrc_nextpnr.cpp cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/lib/qt5/bin/rcc --name nextpnr --output /<>/debian/build/ecp5-qt/generated/gui/ecp5/qrc_nextpnr.cpp /<>/gui/ecp5/nextpnr.qrc [ 7%] Generating qrc_base.cpp cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/lib/qt5/bin/rcc --name base --output /<>/debian/build/ecp5-qt/generated/gui/ecp5/qrc_base.cpp /<>/gui/base.qrc cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/gui /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/gui/ecp5 /<>/debian/build/ecp5-qt/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 7%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -c /<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 8%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o -MF CMakeFiles/gui_ecp5.dir/application.cc.o.d -o CMakeFiles/gui_ecp5.dir/application.cc.o -c /<>/gui/application.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc cd /<>/debian/build/ecp5/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 76%] Generating chipdb/chipdb-45k.bba cd /<>/debian/build/ecp5/ecp5 && /usr/bin/python3 /<>/ecp5/trellis_import.py -L /usr/lib/riscv64-linux-gnu/trellis/ -L /usr/share/trellis//util/common -L /usr/share/trellis//timing/util -p /<>/ecp5/constids.inc -g /<>/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new [ 8%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o -MF CMakeFiles/gui_ecp5.dir/basewindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/basewindow.cc.o -c /<>/gui/basewindow.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 9%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/designwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/designwidget.cc.o -c /<>/gui/designwidget.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 10%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -c /<>/gui/fpgaviewwidget.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 81%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 10%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o -MF CMakeFiles/gui_ecp5.dir/line_editor.cc.o.d -o CMakeFiles/gui_ecp5.dir/line_editor.cc.o -c /<>/gui/line_editor.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 11%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o -MF CMakeFiles/gui_ecp5.dir/lineshader.cc.o.d -o CMakeFiles/gui_ecp5.dir/lineshader.cc.o -c /<>/gui/lineshader.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o -c /<>/ecp5/arch.cc [ 11%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -MF CMakeFiles/gui_ecp5.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -c /<>/gui/pyconsole.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o -c /<>/ecp5/arch_place.cc [ 12%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o -MF CMakeFiles/gui_ecp5.dir/pythontab.cc.o.d -o CMakeFiles/gui_ecp5.dir/pythontab.cc.o -c /<>/gui/pythontab.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o -c /<>/ecp5/arch_pybindings.cc [ 13%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o -MF CMakeFiles/gui_ecp5.dir/treemodel.cc.o.d -o CMakeFiles/gui_ecp5.dir/treemodel.cc.o -c /<>/gui/treemodel.cc [ 13%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o -MF CMakeFiles/gui_ecp5.dir/worker.cc.o.d -o CMakeFiles/gui_ecp5.dir/worker.cc.o -c /<>/gui/worker.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o -c /<>/ecp5/baseconfigs.cc [ 14%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -c /<>/gui/ecp5/mainwindow.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o -c /<>/ecp5/bitstream.cc [ 15%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /<>/3rdparty/python-console/ColumnFormatter.cpp [ 15%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /<>/3rdparty/python-console/ParseHelper.cpp [ 16%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 16%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o -c /<>/ecp5/cells.cc [ 17%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /<>/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 18%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /<>/3rdparty/python-console/ParseMessage.cpp [ 18%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /<>/3rdparty/python-console/modified/pyredirector.cc [ 19%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /<>/3rdparty/python-console/modified/pyinterpreter.cc [ 20%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /<>/3rdparty/imgui/imgui_widgets.cpp [ 20%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /<>/3rdparty/imgui/imgui_draw.cpp [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o -c /<>/ecp5/config.cc [ 21%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -c /<>/3rdparty/imgui/imgui.cpp [ 21%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /<>/3rdparty/imgui/imgui_demo.cpp [ 22%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /<>/3rdparty/qtimgui/ImGuiRenderer.cpp [ 23%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /<>/3rdparty/qtimgui/QtImGui.cpp [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o -c /<>/ecp5/gfx.cc [ 23%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -c /<>/debian/build/ecp5-qt/generated/gui/ecp5/qrc_base.cpp [ 24%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/gui/ecp5 -I/<>/gui -I/<>/debian/build/ecp5-qt/generated/gui/ecp5/gui_ecp5_autogen/include -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/gui/../ecp5 -I/<>/gui/ecp5 -I/<>/gui/../3rdparty/QtPropertyBrowser/src -I/<>/gui/../3rdparty/imgui -I/<>/gui/../3rdparty/qtimgui -I/<>/debian/build/ecp5-qt/generated -I/<>/gui/../3rdparty/python-console -I/<>/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -c /<>/debian/build/ecp5-qt/generated/gui/ecp5/qrc_nextpnr.cpp [ 25%] Linking CXX static library libgui_ecp5.a cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/cmake -P CMakeFiles/gui_ecp5.dir/cmake_clean_target.cmake cd /<>/debian/build/ecp5-qt/generated/gui/ecp5 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ecp5.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-13" qc libgui_ecp5.a CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ecp5.dir/application.cc.o CMakeFiles/gui_ecp5.dir/basewindow.cc.o CMakeFiles/gui_ecp5.dir/designwidget.cc.o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ecp5.dir/line_editor.cc.o CMakeFiles/gui_ecp5.dir/lineshader.cc.o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o CMakeFiles/gui_ecp5.dir/pythontab.cc.o CMakeFiles/gui_ecp5.dir/treemodel.cc.o CMakeFiles/gui_ecp5.dir/worker.cc.o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib-13" libgui_ecp5.a make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 26%] Built target gui_ecp5 /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 26%] Generating qrc_qtpropertybrowser.cpp cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/lib/qt5/bin/rcc --name qtpropertybrowser --output /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<>/3rdparty/QtPropertyBrowser/src /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 26%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 27%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o -c /<>/ecp5/globals.cc [ 28%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o -c /<>/ecp5/lpf.cc [ 28%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 29%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 29%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o -c /<>/ecp5/main.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o -c /<>/ecp5/pack.cc cd /<>/debian/build/ecp5-qt/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 30%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 30%] Built target chipdb-ecp5-bbas [ 31%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 31%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /<>/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 32%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src -I/<>/3rdparty/QtPropertyBrowser/src -I/<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /usr/bin/make -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 33%] Generating ecp5/chipdb/chipdb-25k.bin bba/bbasm --le /<>/debian/build/ecp5-qt/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.bin [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNO_GUI -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5/generated -I/<>/rust -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o -c /<>/ecp5/pio.cc [ 34%] Linking CXX static library libQtPropertyBrowser.a cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /<>/debian/build/ecp5-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-13" qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib-13" libQtPropertyBrowser.a make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 35%] Built target QtPropertyBrowser /usr/bin/make -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 36%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 37%] Generating ecp5/chipdb/chipdb-45k.bin bba/bbasm --le /<>/debian/build/ecp5-qt/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.bin [ 37%] Generating ecp5/chipdb/chipdb-85k.bin bba/bbasm --le /<>/debian/build/ecp5-qt/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.bin [ 96%] Linking CXX executable nextpnr-ecp5-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o" -o nextpnr-ecp5-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 37%] Built target chipdb-ecp5-bins /usr/bin/make -f CMakeFiles/nextpnr-ecp5-test.dir/build.make CMakeFiles/nextpnr-ecp5-test.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/CMakeFiles/nextpnr-ecp5-test.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f CMakeFiles/nextpnr-ecp5-test.dir/build.make CMakeFiles/nextpnr-ecp5-test.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' [ 37%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o -c /<>/common/kernel/archcheck.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc cd /<>/debian/build/ecp5/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 97%] Generating chipdb/chipdb-85k.bba cd /<>/debian/build/ecp5/ecp5 && /usr/bin/python3 /<>/ecp5/trellis_import.py -L /usr/lib/riscv64-linux-gnu/trellis/ -L /usr/share/trellis//util/common -L /usr/share/trellis//timing/util -p /<>/ecp5/constids.inc -g /<>/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 38%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o -c /<>/common/kernel/basectx.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o -c /<>/common/kernel/bits.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o -c /<>/common/kernel/command.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o -c /<>/common/kernel/context.cc make[5]: Leaving directory '/<>/debian/build/ecp5' [ 97%] Built target nextpnr-ecp5-test [ 44%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o -c /<>/common/kernel/design_utils.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o -c /<>/common/kernel/embed.cc [ 45%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 46%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /<>/common/kernel/handle_error.cc [ 46%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 48%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 48%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 49%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /<>/common/kernel/idstring.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /<>/common/kernel/idstringlist.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /<>/common/kernel/log.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /<>/common/kernel/nextpnr.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /<>/common/kernel/nextpnr_assertions.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /<>/common/kernel/nextpnr_namespaces.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /<>/common/kernel/nextpnr_types.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /<>/common/kernel/property.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /<>/common/kernel/pybindings.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /<>/common/kernel/report.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /<>/common/kernel/sdf.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /<>/common/kernel/str_ring_buffer.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /<>/common/kernel/svg.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /<>/common/kernel/timing.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /<>/common/kernel/timing_log.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /<>/common/place/detail_place_core.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /<>/common/place/parallel_refine.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /<>/common/place/place_common.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /<>/common/place/placer1.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /<>/common/place/placer_heap.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /<>/common/place/placer_static.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /<>/common/place/timing_opt.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /<>/common/route/router1.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 76%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /<>/common/route/router2.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /<>/3rdparty/json11/json11.cpp [ 79%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /<>/3rdparty/oourafft/fftsg.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /<>/3rdparty/oourafft/fftsg2d.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /<>/json/jsonwrite.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /<>/frontend/json_frontend.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /<>/ecp5/arch.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o -c /<>/ecp5/arch.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /<>/ecp5/arch_place.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /<>/ecp5/arch_pybindings.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /<>/ecp5/baseconfigs.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o -c /<>/ecp5/arch_place.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o -c /<>/ecp5/arch_pybindings.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /<>/ecp5/bitstream.cc cd /<>/debian/build/ecp5/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba make[5]: Leaving directory '/<>/debian/build/ecp5' [ 97%] Built target chipdb-ecp5-bbas /usr/bin/make -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5 /<>/debian/build/ecp5 /<>/debian/build/ecp5/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' [ 98%] Generating ecp5/chipdb/chipdb-25k.bin bba/bbasm --le /<>/debian/build/ecp5/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.bin [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /<>/ecp5/cells.cc [ 99%] Generating ecp5/chipdb/chipdb-45k.bin bba/bbasm --le /<>/debian/build/ecp5/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.bin [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /<>/ecp5/config.cc [100%] Generating ecp5/chipdb/chipdb-85k.bin bba/bbasm --le /<>/debian/build/ecp5/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.bin [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /<>/ecp5/gfx.cc make[5]: Leaving directory '/<>/debian/build/ecp5' [100%] Built target chipdb-ecp5-bins /usr/bin/make -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5' cd /<>/debian/build/ecp5 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5 /<>/debian/build/ecp5 /<>/debian/build/ecp5/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/make -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build make[5]: Entering directory '/<>/debian/build/ecp5' make[5]: Nothing to be done for 'CMakeFiles/chipdb-ecp5.dir/build'. make[5]: Leaving directory '/<>/debian/build/ecp5' [100%] Built target chipdb-ecp5 make[4]: Leaving directory '/<>/debian/build/ecp5' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ecp5/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/ecp5' [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /<>/ecp5/globals.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o -c /<>/ecp5/baseconfigs.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /<>/ecp5/lpf.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /<>/ecp5/main.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /<>/ecp5/pack.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o -c /<>/ecp5/bitstream.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /<>/ecp5/pio.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o -c /<>/ecp5/cells.cc /usr/bin/make -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend make[5]: Entering directory '/<>/debian/build/ecp5-qt' cd /<>/debian/build/ecp5-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /<> /<> /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt /<>/debian/build/ecp5-qt/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" make[5]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/make -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build make[5]: Entering directory '/<>/debian/build/ecp5-qt' make[5]: Nothing to be done for 'CMakeFiles/chipdb-ecp5.dir/build'. make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [ 94%] Built target chipdb-ecp5 [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o -c /<>/ecp5/config.cc [ 95%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" -o nextpnr-ecp5 /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib/riscv64-linux-gnu/libQt5OpenGL.so.5.15.13 /usr/lib/riscv64-linux-gnu/libOpenGL.so /usr/lib/riscv64-linux-gnu/libGLX.so /usr/lib/riscv64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/riscv64-linux-gnu/libpython3.12.so /usr/lib/riscv64-linux-gnu/libQt5Widgets.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Gui.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Core.so.5.15.13 [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o -c /<>/ecp5/gfx.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o -c /<>/ecp5/globals.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o -c /<>/ecp5/lpf.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o -c /<>/ecp5/main.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o -c /<>/ecp5/pack.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o /usr/bin/c++ -DARCHNAME=ecp5 -DARCH_ECP5 -DEXTERNAL_CHIPDB_ROOT=\"/usr/share/nextpnr\" -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_test_EXPORTS -I/<>/common/kernel -I/<>/common/place -I/<>/common/route -I/<>/json -I/<>/frontend -I/<>/3rdparty/json11 -I/<>/3rdparty/oourafft -I/<>/3rdparty/pybind11/include -I/usr/include/python3.12 -I/<>/ecp5 -I/<>/debian/build/ecp5-qt/generated -I/<>/rust -I/<>/gui/ecp5 -I/<>/gui -I/<>/3rdparty/googletest/googletest/include -isystem /usr/include/eigen3 -isystem /usr/include/riscv64-linux-gnu/qt5 -isystem /usr/include/riscv64-linux-gnu/qt5/QtWidgets -isystem /usr/include/riscv64-linux-gnu/qt5/QtGui -isystem /usr/include/riscv64-linux-gnu/qt5/QtCore -isystem /usr/lib/riscv64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/riscv64-linux-gnu/qt5/QtOpenGL -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o -c /<>/ecp5/pio.cc [100%] Linking CXX executable nextpnr-ecp5-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fno-omit-frame-pointer -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -fno-stack-clash-protection -fdebug-prefix-map=/<>=/usr/src/nextpnr-0.7-1 -Wdate-time -D_FORTIFY_SOURCE=3 -flto=auto -fno-fat-lto-objects -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o" -o nextpnr-ecp5-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/riscv64-linux-gnu/libboost_filesystem.so /usr/lib/riscv64-linux-gnu/libboost_program_options.so /usr/lib/riscv64-linux-gnu/libboost_iostreams.so /usr/lib/riscv64-linux-gnu/libboost_system.so /usr/lib/riscv64-linux-gnu/libboost_thread.so /usr/lib/riscv64-linux-gnu/libboost_regex.so /usr/lib/riscv64-linux-gnu/libboost_chrono.so /usr/lib/riscv64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib/riscv64-linux-gnu/libQt5OpenGL.so.5.15.13 /usr/lib/riscv64-linux-gnu/libOpenGL.so /usr/lib/riscv64-linux-gnu/libGLX.so /usr/lib/riscv64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/riscv64-linux-gnu/libpython3.12.so generated/3rdparty/googletest/libgtest.a /usr/lib/riscv64-linux-gnu/libQt5Widgets.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Gui.so.5.15.13 /usr/lib/riscv64-linux-gnu/libQt5Core.so.5.15.13 make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [100%] Built target nextpnr-ecp5 make[5]: Leaving directory '/<>/debian/build/ecp5-qt' [100%] Built target nextpnr-ecp5-test make[4]: Leaving directory '/<>/debian/build/ecp5-qt' /usr/bin/cmake -E cmake_progress_start /<>/debian/build/ecp5-qt/CMakeFiles 0 make[3]: Leaving directory '/<>/debian/build/ecp5-qt' make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' dh: command-omitted: The call to "debian/rules override_dh_auto_test" was omitted due to "DEB_BUILD_OPTIONS=nocheck" create-stamp debian/debhelper-build-stamp dh_prep -a rm -f -- debian/nextpnr-ice40.substvars debian/nextpnr-ice40-qt.substvars debian/nextpnr-ice40-chipdb.substvars debian/nextpnr-ecp5.substvars debian/nextpnr-ecp5-qt.substvars debian/nextpnr-ecp5-chipdb.substvars debian/nextpnr-gowin.substvars debian/nextpnr-gowin-qt.substvars debian/nextpnr-gowin-chipdb.substvars debian/nextpnr-generic.substvars rm -fr -- debian/.debhelper/generated/nextpnr-ice40/ debian/nextpnr-ice40/ debian/tmp/ debian/.debhelper/generated/nextpnr-ice40-qt/ debian/nextpnr-ice40-qt/ debian/.debhelper/generated/nextpnr-ice40-chipdb/ debian/nextpnr-ice40-chipdb/ debian/.debhelper/generated/nextpnr-ecp5/ debian/nextpnr-ecp5/ debian/.debhelper/generated/nextpnr-ecp5-qt/ debian/nextpnr-ecp5-qt/ debian/.debhelper/generated/nextpnr-ecp5-chipdb/ debian/nextpnr-ecp5-chipdb/ debian/.debhelper/generated/nextpnr-gowin/ debian/nextpnr-gowin/ debian/.debhelper/generated/nextpnr-gowin-qt/ debian/nextpnr-gowin-qt/ debian/.debhelper/generated/nextpnr-gowin-chipdb/ debian/nextpnr-gowin-chipdb/ debian/.debhelper/generated/nextpnr-generic/ debian/nextpnr-generic/ debian/rules override_dh_auto_install make[1]: Entering directory '/<>' /usr/bin/make -fdebian/rules -j4 \ install/generic install/ice40-qt install/ice40 install/gowin-qt install/gowin install/ecp5-qt install/ecp5 make[2]: Entering directory '/<>' dh_auto_install -B/<>/debian/build/generic --destdir=/<>/debian/nextpnr-generic dh_auto_install -B/<>/debian/build/ice40-qt --destdir=/<>/debian/nextpnr-ice40-qt dh_auto_install -B/<>/debian/build/ice40 --destdir=/<>/debian/nextpnr-ice40 dh_auto_install -B/<>/debian/build/gowin-qt --destdir=/<>/debian/nextpnr-gowin-qt install -m0755 -d /<>/debian/nextpnr-ice40-qt install -m0755 -d /<>/debian/nextpnr-generic install -m0755 -d /<>/debian/nextpnr-ice40 cd debian/build/ice40-qt && make -j4 install DESTDIR=/<>/debian/nextpnr-ice40-qt AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" cd debian/build/generic && make -j4 install DESTDIR=/<>/debian/nextpnr-generic AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" install -m0755 -d /<>/debian/nextpnr-gowin-qt cd debian/build/ice40 && make -j4 install DESTDIR=/<>/debian/nextpnr-ice40 AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/generic' /usr/bin/cmake -S/<> -B/<>/debian/build/generic --check-build-system CMakeFiles/Makefile.cmake 0 make[3]: Entering directory '/<>/debian/build/ice40-qt' /usr/bin/cmake -S/<> -B/<>/debian/build/ice40-qt --check-build-system CMakeFiles/Makefile.cmake 0 make[3]: Entering directory '/<>/debian/build/ice40' /usr/bin/cmake -S/<> -B/<>/debian/build/ice40 --check-build-system CMakeFiles/Makefile.cmake 0 cd debian/build/gowin-qt && make -j4 install DESTDIR=/<>/debian/nextpnr-gowin-qt AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/gowin-qt' /usr/bin/cmake -S/<> -B/<>/debian/build/gowin-qt --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall make -f CMakeFiles/Makefile2 preinstall make -f CMakeFiles/Makefile2 preinstall make[4]: Entering directory '/<>/debian/build/generic' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/generic' make[4]: Entering directory '/<>/debian/build/ice40' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/ice40' make[4]: Entering directory '/<>/debian/build/ice40-qt' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/ice40-qt' make -f CMakeFiles/Makefile2 preinstall make[4]: Entering directory '/<>/debian/build/gowin-qt' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/gowin-qt' Install the project... /usr/bin/cmake -P cmake_install.cmake Install the project... /usr/bin/cmake -P cmake_install.cmake Install the project... /usr/bin/cmake -P cmake_install.cmake Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /<>/debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 -- Install configuration: "None" -- Installing: /<>/debian/nextpnr-ice40/usr/bin/nextpnr-ice40 -- Install configuration: "None" -- Installing: /<>/debian/nextpnr-generic/usr/bin/nextpnr-generic -- Install configuration: "None" -- Installing: /<>/debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin make[3]: Leaving directory '/<>/debian/build/generic' make[3]: Leaving directory '/<>/debian/build/ice40' if [ generic != generic ] && [ -z "" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-generic-chipdb/usr/share/nextpnr/generic/ \ /<>/debian/build/generic/generic/chipdb/*.bin; fi if [ ice40 != generic ] && [ -z "" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-ice40-chipdb/usr/share/nextpnr/ice40/ \ /<>/debian/build/ice40/ice40/chipdb/*.bin; fi dh_auto_install -B/<>/debian/build/gowin --destdir=/<>/debian/nextpnr-gowin make[3]: Leaving directory '/<>/debian/build/ice40-qt' if [ ice40 != generic ] && [ -z "ice40-qt" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-ice40-qt-chipdb/usr/share/nextpnr/ice40/ \ /<>/debian/build/ice40-qt/ice40/chipdb/*.bin; fi dh_auto_install -B/<>/debian/build/ecp5-qt --destdir=/<>/debian/nextpnr-ecp5-qt install -m0755 -d /<>/debian/nextpnr-gowin cd debian/build/gowin && make -j4 install DESTDIR=/<>/debian/nextpnr-gowin AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[3]: Leaving directory '/<>/debian/build/gowin-qt' make[3]: Entering directory '/<>/debian/build/gowin' /usr/bin/cmake -S/<> -B/<>/debian/build/gowin --check-build-system CMakeFiles/Makefile.cmake 0 if [ gowin != generic ] && [ -z "gowin-qt" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-gowin-qt-chipdb/usr/share/nextpnr/gowin/ \ /<>/debian/build/gowin-qt/gowin/chipdb/*.bin; fi dh_auto_install -B/<>/debian/build/ecp5 --destdir=/<>/debian/nextpnr-ecp5 make -f CMakeFiles/Makefile2 preinstall make[4]: Entering directory '/<>/debian/build/gowin' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/gowin' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /<>/debian/nextpnr-gowin/usr/bin/nextpnr-gowin install -m0755 -d /<>/debian/nextpnr-ecp5-qt cd debian/build/ecp5-qt && make -j4 install DESTDIR=/<>/debian/nextpnr-ecp5-qt AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[3]: Entering directory '/<>/debian/build/ecp5-qt' /usr/bin/cmake -S/<> -B/<>/debian/build/ecp5-qt --check-build-system CMakeFiles/Makefile.cmake 0 install -m0755 -d /<>/debian/nextpnr-ecp5 make -f CMakeFiles/Makefile2 preinstall cd debian/build/ecp5 && make -j4 install DESTDIR=/<>/debian/nextpnr-ecp5 AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[4]: Entering directory '/<>/debian/build/ecp5-qt' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/ecp5-qt' make[3]: Entering directory '/<>/debian/build/ecp5' /usr/bin/cmake -S/<> -B/<>/debian/build/ecp5 --check-build-system CMakeFiles/Makefile.cmake 0 Install the project... /usr/bin/cmake -P cmake_install.cmake make -f CMakeFiles/Makefile2 preinstall -- Install configuration: "None" make[4]: Entering directory '/<>/debian/build/ecp5' make[4]: Nothing to be done for 'preinstall'. make[4]: Leaving directory '/<>/debian/build/ecp5' -- Installing: /<>/debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /<>/debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 make[3]: Leaving directory '/<>/debian/build/gowin' if [ gowin != generic ] && [ -z "" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-gowin-chipdb/usr/share/nextpnr/gowin/ \ /<>/debian/build/gowin/gowin/chipdb/*.bin; fi make[3]: Leaving directory '/<>/debian/build/ecp5' if [ ecp5 != generic ] && [ -z "" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-ecp5-chipdb/usr/share/nextpnr/ecp5/ \ /<>/debian/build/ecp5/ecp5/chipdb/*.bin; fi make[3]: Leaving directory '/<>/debian/build/ecp5-qt' if [ ecp5 != generic ] && [ -z "ecp5-qt" ]; then \ install -D --mode=0644 -t \ /<>/debian/nextpnr-ecp5-qt-chipdb/usr/share/nextpnr/ecp5/ \ /<>/debian/build/ecp5-qt/ecp5/chipdb/*.bin; fi make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' dh_installdocs -a install -m0755 -d debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40 install -p -m0644 debian/copyright debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/copyright install -m0755 -d debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt install -p -m0644 debian/copyright debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/copyright install -m0755 -d debian/nextpnr-ice40-chipdb/usr/share/doc/nextpnr-ice40-chipdb install -p -m0644 debian/copyright debian/nextpnr-ice40-chipdb/usr/share/doc/nextpnr-ice40-chipdb/copyright install -m0755 -d debian/nextpnr-ecp5/usr/share/doc/nextpnr-ecp5 install -p -m0644 debian/copyright debian/nextpnr-ecp5/usr/share/doc/nextpnr-ecp5/copyright install -m0755 -d debian/nextpnr-ecp5-qt/usr/share/doc/nextpnr-ecp5-qt install -p -m0644 debian/copyright debian/nextpnr-ecp5-qt/usr/share/doc/nextpnr-ecp5-qt/copyright install -m0755 -d debian/nextpnr-ecp5-chipdb/usr/share/doc/nextpnr-ecp5-chipdb install -p -m0644 debian/copyright debian/nextpnr-ecp5-chipdb/usr/share/doc/nextpnr-ecp5-chipdb/copyright install -m0755 -d debian/nextpnr-gowin/usr/share/doc/nextpnr-gowin install -p -m0644 debian/copyright debian/nextpnr-gowin/usr/share/doc/nextpnr-gowin/copyright install -m0755 -d debian/nextpnr-gowin-qt/usr/share/doc/nextpnr-gowin-qt install -p -m0644 debian/copyright debian/nextpnr-gowin-qt/usr/share/doc/nextpnr-gowin-qt/copyright install -m0755 -d debian/nextpnr-gowin-chipdb/usr/share/doc/nextpnr-gowin-chipdb install -p -m0644 debian/copyright debian/nextpnr-gowin-chipdb/usr/share/doc/nextpnr-gowin-chipdb/copyright install -m0755 -d debian/nextpnr-generic/usr/share/doc/nextpnr-generic install -p -m0644 debian/copyright debian/nextpnr-generic/usr/share/doc/nextpnr-generic/copyright dh_installchangelogs -a install -m0755 -d debian/nextpnr-generic/usr/share/doc/nextpnr-generic install -p -m0644 debian/.debhelper/generated/nextpnr-generic/dh_installchangelogs.dch.trimmed debian/nextpnr-generic/usr/share/doc/nextpnr-generic/changelog.Debian install -m0755 -d debian/nextpnr-ecp5/usr/share/doc/nextpnr-ecp5 install -p -m0644 debian/.debhelper/generated/nextpnr-ecp5/dh_installchangelogs.dch.trimmed debian/nextpnr-ecp5/usr/share/doc/nextpnr-ecp5/changelog.Debian install -m0755 -d debian/nextpnr-ecp5-qt/usr/share/doc/nextpnr-ecp5-qt install -p -m0644 debian/.debhelper/generated/nextpnr-ecp5-qt/dh_installchangelogs.dch.trimmed debian/nextpnr-ecp5-qt/usr/share/doc/nextpnr-ecp5-qt/changelog.Debian install -m0755 -d debian/nextpnr-ecp5-chipdb/usr/share/doc/nextpnr-ecp5-chipdb install -p -m0644 debian/.debhelper/generated/nextpnr-ecp5-chipdb/dh_installchangelogs.dch.trimmed debian/nextpnr-ecp5-chipdb/usr/share/doc/nextpnr-ecp5-chipdb/changelog.Debian install -m0755 -d debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40 install -p -m0644 debian/.debhelper/generated/nextpnr-ice40/dh_installchangelogs.dch.trimmed debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/changelog.Debian install -m0755 -d debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt install -p -m0644 debian/.debhelper/generated/nextpnr-ice40-qt/dh_installchangelogs.dch.trimmed debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/changelog.Debian install -m0755 -d debian/nextpnr-ice40-chipdb/usr/share/doc/nextpnr-ice40-chipdb install -p -m0644 debian/.debhelper/generated/nextpnr-ice40-chipdb/dh_installchangelogs.dch.trimmed debian/nextpnr-ice40-chipdb/usr/share/doc/nextpnr-ice40-chipdb/changelog.Debian install -m0755 -d debian/nextpnr-gowin/usr/share/doc/nextpnr-gowin install -p -m0644 debian/.debhelper/generated/nextpnr-gowin/dh_installchangelogs.dch.trimmed debian/nextpnr-gowin/usr/share/doc/nextpnr-gowin/changelog.Debian install -m0755 -d debian/nextpnr-gowin-qt/usr/share/doc/nextpnr-gowin-qt install -p -m0644 debian/.debhelper/generated/nextpnr-gowin-qt/dh_installchangelogs.dch.trimmed debian/nextpnr-gowin-qt/usr/share/doc/nextpnr-gowin-qt/changelog.Debian install -m0755 -d debian/nextpnr-gowin-chipdb/usr/share/doc/nextpnr-gowin-chipdb install -p -m0644 debian/.debhelper/generated/nextpnr-gowin-chipdb/dh_installchangelogs.dch.trimmed debian/nextpnr-gowin-chipdb/usr/share/doc/nextpnr-gowin-chipdb/changelog.Debian rm -f debian/nextpnr-ecp5-chipdb.debhelper.log debian/nextpnr-ecp5-qt.debhelper.log debian/nextpnr-ecp5.debhelper.log debian/nextpnr-generic.debhelper.log debian/nextpnr-gowin-chipdb.debhelper.log debian/nextpnr-gowin-qt.debhelper.log debian/nextpnr-gowin.debhelper.log debian/nextpnr-ice40-chipdb.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_installexamples make[1]: Entering directory '/<>' dh_installexamples --exclude=.gitignore install -m0755 -d debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/examples cd './ice40/examples/blinky/..' && find 'blinky' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /<>/debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/examples cd './ice40/examples/floorplan/..' && find 'floorplan' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /<>/debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/examples install -m0755 -d debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/examples cd './ice40/examples/blinky/..' && find 'blinky' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /<>/debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/examples cd './ice40/examples/floorplan/..' && find 'floorplan' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /<>/debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/examples install -m0755 -d debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/__init__.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/bitstream.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/blinky.v debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/blinky_tb.v debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/README.md debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple.sh debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple_config.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple_timing.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simtest.sh debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/write_fasm.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples make[1]: Leaving directory '/<>' rm -f debian/nextpnr-ecp5-chipdb.debhelper.log debian/nextpnr-ecp5-qt.debhelper.log debian/nextpnr-ecp5.debhelper.log debian/nextpnr-generic.debhelper.log debian/nextpnr-gowin-chipdb.debhelper.log debian/nextpnr-gowin-qt.debhelper.log debian/nextpnr-gowin.debhelper.log debian/nextpnr-ice40-chipdb.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_installman make[1]: Entering directory '/<>' help2man --name="Next Generation Place and Route" --version-string="0.7-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-generic/usr/bin/nextpnr-generic > debian/nextpnr-generic.1 help2man --name="Next Generation Place and Route" --version-string="0.7-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 > debian/nextpnr-ice40.1 help2man --name="Next Generation Place and Route" --version-string="0.7-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin > debian/nextpnr-gowin.1 help2man --name="Next Generation Place and Route" --version-string="0.7-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 > debian/nextpnr-ecp5.1 dh_installman install -m0755 -d debian/nextpnr-generic/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-generic.1 debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 install -m0755 -d debian/nextpnr-ice40/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ice40.1 debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 install -m0755 -d debian/nextpnr-ice40-qt/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ice40.1 debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 install -m0755 -d debian/nextpnr-ecp5/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ecp5.1 debian/nextpnr-ecp5/usr/share/man/man1/nextpnr-ecp5.1 install -m0755 -d debian/nextpnr-ecp5-qt/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ecp5.1 debian/nextpnr-ecp5-qt/usr/share/man/man1/nextpnr-ecp5.1 install -m0755 -d debian/nextpnr-gowin/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-gowin.1 debian/nextpnr-gowin/usr/share/man/man1/nextpnr-gowin.1 install -m0755 -d debian/nextpnr-gowin-qt/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-gowin.1 debian/nextpnr-gowin-qt/usr/share/man/man1/nextpnr-gowin.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-ecp5/usr/share/man/man1/nextpnr-ecp5.1 debian/nextpnr-ecp5-qt/usr/share/man/man1/nextpnr-ecp5.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-gowin/usr/share/man/man1/nextpnr-gowin.1 debian/nextpnr-gowin-qt/usr/share/man/man1/nextpnr-gowin.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 mv debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 mv debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 chmod 0644 -- debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 mv debian/nextpnr-gowin/usr/share/man/man1/nextpnr-gowin.1.dh-new debian/nextpnr-gowin/usr/share/man/man1/nextpnr-gowin.1 mv debian/nextpnr-gowin-qt/usr/share/man/man1/nextpnr-gowin.1.dh-new debian/nextpnr-gowin-qt/usr/share/man/man1/nextpnr-gowin.1 chmod 0644 -- debian/nextpnr-gowin/usr/share/man/man1/nextpnr-gowin.1 debian/nextpnr-gowin-qt/usr/share/man/man1/nextpnr-gowin.1 mv debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1.dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 chmod 0644 -- debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 mv debian/nextpnr-ecp5/usr/share/man/man1/nextpnr-ecp5.1.dh-new debian/nextpnr-ecp5/usr/share/man/man1/nextpnr-ecp5.1 mv debian/nextpnr-ecp5-qt/usr/share/man/man1/nextpnr-ecp5.1.dh-new debian/nextpnr-ecp5-qt/usr/share/man/man1/nextpnr-ecp5.1 chmod 0644 -- debian/nextpnr-ecp5/usr/share/man/man1/nextpnr-ecp5.1 debian/nextpnr-ecp5-qt/usr/share/man/man1/nextpnr-ecp5.1 make[1]: Leaving directory '/<>' dh_perl -a dh_link -a dh_strip_nondeterminism -a dh_compress -a cd debian/nextpnr-ecp5 cd debian/nextpnr-ice40 cd debian/nextpnr-generic cd debian/nextpnr-gowin chmod a-x usr/share/doc/nextpnr-gowin/changelog.Debian usr/share/man/man1/nextpnr-gowin.1 chmod a-x usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 gzip -9nf usr/share/doc/nextpnr-gowin/changelog.Debian usr/share/man/man1/nextpnr-gowin.1 chmod a-x usr/share/doc/nextpnr-ecp5/changelog.Debian usr/share/man/man1/nextpnr-ecp5.1 gzip -9nf usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 chmod a-x usr/share/doc/nextpnr-generic/changelog.Debian usr/share/man/man1/nextpnr-generic.1 gzip -9nf usr/share/doc/nextpnr-ecp5/changelog.Debian usr/share/man/man1/nextpnr-ecp5.1 cd '/<>' cd '/<>' gzip -9nf usr/share/doc/nextpnr-generic/changelog.Debian usr/share/man/man1/nextpnr-generic.1 cd '/<>' cd debian/nextpnr-ice40-qt cd '/<>' cd debian/nextpnr-gowin-qt cd debian/nextpnr-ecp5-qt chmod a-x usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 chmod a-x usr/share/doc/nextpnr-ecp5-qt/changelog.Debian usr/share/man/man1/nextpnr-ecp5.1 gzip -9nf usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 chmod a-x usr/share/doc/nextpnr-gowin-qt/changelog.Debian usr/share/man/man1/nextpnr-gowin.1 gzip -9nf usr/share/doc/nextpnr-ecp5-qt/changelog.Debian usr/share/man/man1/nextpnr-ecp5.1 cd '/<>' gzip -9nf usr/share/doc/nextpnr-gowin-qt/changelog.Debian usr/share/man/man1/nextpnr-gowin.1 cd '/<>' cd '/<>' cd debian/nextpnr-ice40-chipdb cd debian/nextpnr-gowin-chipdb cd debian/nextpnr-ecp5-chipdb chmod a-x usr/share/doc/nextpnr-ice40-chipdb/changelog.Debian chmod a-x usr/share/doc/nextpnr-ecp5-chipdb/changelog.Debian chmod a-x usr/share/doc/nextpnr-gowin-chipdb/changelog.Debian gzip -9nf usr/share/doc/nextpnr-ice40-chipdb/changelog.Debian gzip -9nf usr/share/doc/nextpnr-ecp5-chipdb/changelog.Debian cd '/<>' gzip -9nf usr/share/doc/nextpnr-gowin-chipdb/changelog.Debian cd '/<>' cd '/<>' dh_fixperms -a find debian/nextpnr-ice40 ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ecp5 ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-gowin ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-generic ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ecp5/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ecp5/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-gowin/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-generic/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-gowin/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-generic/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ecp5/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5 -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-generic -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40 -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ice40/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-generic/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ecp5-qt ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-gowin-qt ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ice40-qt ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-gowin-qt/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-gowin-qt/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5-qt/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ecp5-qt/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40-qt/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin-qt/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ecp5-qt/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40-qt/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-gowin-qt/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5-qt/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin-qt -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5-qt -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin-qt/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ecp5-qt/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ice40-qt/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ecp5-chipdb ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-gowin-chipdb ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ice40-chipdb ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ice40-chipdb/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40-chipdb/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin-chipdb/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-gowin-chipdb/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5-chipdb/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ecp5-chipdb/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-chipdb/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-gowin-chipdb/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ecp5-chipdb/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40-chipdb -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-gowin-chipdb -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ecp5-chipdb -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 dh_missing -a dh_dwz -a dwz -- debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 dwz -- debian/nextpnr-gowin/usr/bin/nextpnr-gowin dwz -- debian/nextpnr-ice40/usr/bin/nextpnr-ice40 dwz -- debian/nextpnr-generic/usr/bin/nextpnr-generic dwz -- debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin dwz -- debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 dwz -- debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 dh_strip -a debugedit --build-id --build-id-seed=nextpnr-ecp5/0.7-1 debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 debugedit --build-id --build-id-seed=nextpnr-gowin/0.7-1 debian/nextpnr-gowin/usr/bin/nextpnr-gowin debugedit --build-id --build-id-seed=nextpnr-ice40/0.7-1 debian/nextpnr-ice40/usr/bin/nextpnr-ice40 debugedit --build-id --build-id-seed=nextpnr-generic/0.7-1 debian/nextpnr-generic/usr/bin/nextpnr-generic aed638f4d5ca5d71f1bba83669506d29ea5bc280 fa992d5e07a6942266f99d9cf4d2cc7c677ebae4 install -m0755 -d debian/.debhelper/nextpnr-gowin/dbgsym-root/usr/lib/debug/.build-id/ae objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-gowin/usr/bin/nextpnr-gowin debian/.debhelper/nextpnr-gowin/dbgsym-root/usr/lib/debug/.build-id/ae/d638f4d5ca5d71f1bba83669506d29ea5bc280.debug install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/fa objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/fa/992d5e07a6942266f99d9cf4d2cc7c677ebae4.debug 0c3fbcc439f99f7e92bfea93f2e152e4b1bc4d46 87fca2d1ba3a4d5da7761dee9843c7b04a0f28a5 install -m0755 -d debian/.debhelper/nextpnr-ecp5/dbgsym-root/usr/lib/debug/.build-id/87 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 debian/.debhelper/nextpnr-ecp5/dbgsym-root/usr/lib/debug/.build-id/87/fca2d1ba3a4d5da7761dee9843c7b04a0f28a5.debug install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/0c objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-generic/usr/bin/nextpnr-generic debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/0c/3fbcc439f99f7e92bfea93f2e152e4b1bc4d46.debug chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/fa/992d5e07a6942266f99d9cf4d2cc7c677ebae4.debug strip --remove-section=.comment --remove-section=.note -o /tmp/qNGh7rJnvM/striprRP8Ml debian/nextpnr-ice40/usr/bin/nextpnr-ice40 chmod --reference debian/nextpnr-ice40/usr/bin/nextpnr-ice40 /tmp/qNGh7rJnvM/striprRP8Ml cat '/tmp/qNGh7rJnvM/striprRP8Ml' > 'debian/nextpnr-ice40/usr/bin/nextpnr-ice40' chmod --reference /tmp/qNGh7rJnvM/striprRP8Ml debian/nextpnr-ice40/usr/bin/nextpnr-ice40 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/fa/992d5e07a6942266f99d9cf4d2cc7c677ebae4.debug debian/nextpnr-ice40/usr/bin/nextpnr-ice40 /tmp/qNGh7rJnvM/objcopyd7o9nc chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/0c/3fbcc439f99f7e92bfea93f2e152e4b1bc4d46.debug strip --remove-section=.comment --remove-section=.note -o /tmp/MvUz5O7wgN/strip9iDmD0 debian/nextpnr-generic/usr/bin/nextpnr-generic chmod 0644 -- debian/.debhelper/nextpnr-gowin/dbgsym-root/usr/lib/debug/.build-id/ae/d638f4d5ca5d71f1bba83669506d29ea5bc280.debug strip --remove-section=.comment --remove-section=.note -o /tmp/zLtoKUAcrX/strip5yerOn debian/nextpnr-gowin/usr/bin/nextpnr-gowin chmod --reference debian/nextpnr-generic/usr/bin/nextpnr-generic /tmp/MvUz5O7wgN/strip9iDmD0 cat '/tmp/MvUz5O7wgN/strip9iDmD0' > 'debian/nextpnr-generic/usr/bin/nextpnr-generic' chmod --reference debian/nextpnr-ice40/usr/bin/nextpnr-ice40 /tmp/qNGh7rJnvM/objcopyd7o9nc chmod --reference /tmp/MvUz5O7wgN/strip9iDmD0 debian/nextpnr-generic/usr/bin/nextpnr-generic cat '/tmp/qNGh7rJnvM/objcopyd7o9nc' > 'debian/nextpnr-ice40/usr/bin/nextpnr-ice40' objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/0c/3fbcc439f99f7e92bfea93f2e152e4b1bc4d46.debug debian/nextpnr-generic/usr/bin/nextpnr-generic /tmp/MvUz5O7wgN/objcopyeKm94K chmod --reference debian/nextpnr-gowin/usr/bin/nextpnr-gowin /tmp/zLtoKUAcrX/strip5yerOn chmod --reference /tmp/qNGh7rJnvM/objcopyd7o9nc debian/nextpnr-ice40/usr/bin/nextpnr-ice40 cat '/tmp/zLtoKUAcrX/strip5yerOn' > 'debian/nextpnr-gowin/usr/bin/nextpnr-gowin' install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/share/doc ln -s nextpnr-ice40 debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/share/doc/nextpnr-ice40-dbgsym install -m0755 -d debian/.debhelper/nextpnr-ice40 chmod --reference /tmp/zLtoKUAcrX/strip5yerOn debian/nextpnr-gowin/usr/bin/nextpnr-gowin chmod --reference debian/nextpnr-generic/usr/bin/nextpnr-generic /tmp/MvUz5O7wgN/objcopyeKm94K cat '/tmp/MvUz5O7wgN/objcopyeKm94K' > 'debian/nextpnr-generic/usr/bin/nextpnr-generic' objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-gowin/dbgsym-root/usr/lib/debug/.build-id/ae/d638f4d5ca5d71f1bba83669506d29ea5bc280.debug debian/nextpnr-gowin/usr/bin/nextpnr-gowin /tmp/zLtoKUAcrX/objcopyTKI1Zu chmod --reference /tmp/MvUz5O7wgN/objcopyeKm94K debian/nextpnr-generic/usr/bin/nextpnr-generic debugedit --build-id --build-id-seed=nextpnr-ice40-qt/0.7-1 debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/usr/share/doc ln -s nextpnr-generic debian/.debhelper/nextpnr-generic/dbgsym-root/usr/share/doc/nextpnr-generic-dbgsym install -m0755 -d debian/.debhelper/nextpnr-generic chmod --reference debian/nextpnr-gowin/usr/bin/nextpnr-gowin /tmp/zLtoKUAcrX/objcopyTKI1Zu chmod 0644 -- debian/.debhelper/nextpnr-ecp5/dbgsym-root/usr/lib/debug/.build-id/87/fca2d1ba3a4d5da7761dee9843c7b04a0f28a5.debug strip --remove-section=.comment --remove-section=.note -o /tmp/cP6lzgiC05/stripCtA_eG debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 cat '/tmp/zLtoKUAcrX/objcopyTKI1Zu' > 'debian/nextpnr-gowin/usr/bin/nextpnr-gowin' chmod --reference /tmp/zLtoKUAcrX/objcopyTKI1Zu debian/nextpnr-gowin/usr/bin/nextpnr-gowin install -m0755 -d debian/.debhelper/nextpnr-gowin/dbgsym-root/usr/share/doc ln -s nextpnr-gowin debian/.debhelper/nextpnr-gowin/dbgsym-root/usr/share/doc/nextpnr-gowin-dbgsym install -m0755 -d debian/.debhelper/nextpnr-gowin chmod --reference debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 /tmp/cP6lzgiC05/stripCtA_eG cat '/tmp/cP6lzgiC05/stripCtA_eG' > 'debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5' debugedit --build-id --build-id-seed=nextpnr-gowin-qt/0.7-1 debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin chmod --reference /tmp/cP6lzgiC05/stripCtA_eG debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ecp5/dbgsym-root/usr/lib/debug/.build-id/87/fca2d1ba3a4d5da7761dee9843c7b04a0f28a5.debug debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 /tmp/cP6lzgiC05/objcopyZjjrb_ chmod --reference debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 /tmp/cP6lzgiC05/objcopyZjjrb_ cat '/tmp/cP6lzgiC05/objcopyZjjrb_' > 'debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5' chmod --reference /tmp/cP6lzgiC05/objcopyZjjrb_ debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 install -m0755 -d debian/.debhelper/nextpnr-ecp5/dbgsym-root/usr/share/doc ln -s nextpnr-ecp5 debian/.debhelper/nextpnr-ecp5/dbgsym-root/usr/share/doc/nextpnr-ecp5-dbgsym install -m0755 -d debian/.debhelper/nextpnr-ecp5 debugedit --build-id --build-id-seed=nextpnr-ecp5-qt/0.7-1 debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 f8ba53c268053c3c62f4e5c31ceddaef34211ed3 install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/f8 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/f8/ba53c268053c3c62f4e5c31ceddaef34211ed3.debug 11fd9acf2c13335e53b103e7ba92ea22230905e0 install -m0755 -d debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/usr/lib/debug/.build-id/11 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/usr/lib/debug/.build-id/11/fd9acf2c13335e53b103e7ba92ea22230905e0.debug 9197ca6b269e2adcef78b3a5d3411bca1c7af4e3 install -m0755 -d debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/usr/lib/debug/.build-id/91 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/usr/lib/debug/.build-id/91/97ca6b269e2adcef78b3a5d3411bca1c7af4e3.debug chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/f8/ba53c268053c3c62f4e5c31ceddaef34211ed3.debug strip --remove-section=.comment --remove-section=.note -o /tmp/mhMeQKSjSy/stripnq0X5A debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 chmod 0644 -- debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/usr/lib/debug/.build-id/11/fd9acf2c13335e53b103e7ba92ea22230905e0.debug strip --remove-section=.comment --remove-section=.note -o /tmp/TjOnzUDEeS/stripJPWkIJ debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin chmod --reference debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 /tmp/mhMeQKSjSy/stripnq0X5A cat '/tmp/mhMeQKSjSy/stripnq0X5A' > 'debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40' chmod --reference /tmp/mhMeQKSjSy/stripnq0X5A debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/f8/ba53c268053c3c62f4e5c31ceddaef34211ed3.debug debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 /tmp/mhMeQKSjSy/objcopyDFSAsS chmod --reference debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin /tmp/TjOnzUDEeS/stripJPWkIJ cat '/tmp/TjOnzUDEeS/stripJPWkIJ' > 'debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin' chmod --reference /tmp/TjOnzUDEeS/stripJPWkIJ debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin chmod --reference debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 /tmp/mhMeQKSjSy/objcopyDFSAsS objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/usr/lib/debug/.build-id/11/fd9acf2c13335e53b103e7ba92ea22230905e0.debug debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin /tmp/TjOnzUDEeS/objcopykjKtxN cat '/tmp/mhMeQKSjSy/objcopyDFSAsS' > 'debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40' chmod --reference /tmp/mhMeQKSjSy/objcopyDFSAsS debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/share/doc ln -s nextpnr-ice40-qt debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/share/doc/nextpnr-ice40-qt-dbgsym install -m0755 -d debian/.debhelper/nextpnr-ice40-qt chmod --reference debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin /tmp/TjOnzUDEeS/objcopykjKtxN cat '/tmp/TjOnzUDEeS/objcopykjKtxN' > 'debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin' chmod --reference /tmp/TjOnzUDEeS/objcopykjKtxN debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin install -m0755 -d debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/usr/share/doc ln -s nextpnr-gowin-qt debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/usr/share/doc/nextpnr-gowin-qt-dbgsym install -m0755 -d debian/.debhelper/nextpnr-gowin-qt chmod 0644 -- debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/usr/lib/debug/.build-id/91/97ca6b269e2adcef78b3a5d3411bca1c7af4e3.debug strip --remove-section=.comment --remove-section=.note -o /tmp/vc7_mRDWYA/stripHKMPu5 debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 chmod --reference debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 /tmp/vc7_mRDWYA/stripHKMPu5 cat '/tmp/vc7_mRDWYA/stripHKMPu5' > 'debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5' chmod --reference /tmp/vc7_mRDWYA/stripHKMPu5 debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/usr/lib/debug/.build-id/91/97ca6b269e2adcef78b3a5d3411bca1c7af4e3.debug debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 /tmp/vc7_mRDWYA/objcopyObYBdO chmod --reference debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 /tmp/vc7_mRDWYA/objcopyObYBdO cat '/tmp/vc7_mRDWYA/objcopyObYBdO' > 'debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5' chmod --reference /tmp/vc7_mRDWYA/objcopyObYBdO debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 install -m0755 -d debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/usr/share/doc ln -s nextpnr-ecp5-qt debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/usr/share/doc/nextpnr-ecp5-qt-dbgsym install -m0755 -d debian/.debhelper/nextpnr-ecp5-qt dh_makeshlibs -a rm -f debian/nextpnr-ice40/DEBIAN/shlibs rm -f debian/nextpnr-ice40-qt/DEBIAN/shlibs rm -f debian/nextpnr-ice40-chipdb/DEBIAN/shlibs rm -f debian/nextpnr-ecp5/DEBIAN/shlibs rm -f debian/nextpnr-ecp5-qt/DEBIAN/shlibs rm -f debian/nextpnr-ecp5-chipdb/DEBIAN/shlibs rm -f debian/nextpnr-gowin/DEBIAN/shlibs rm -f debian/nextpnr-gowin-qt/DEBIAN/shlibs rm -f debian/nextpnr-gowin-chipdb/DEBIAN/shlibs rm -f debian/nextpnr-generic/DEBIAN/shlibs dh_shlibdeps -a install -m0755 -d debian/nextpnr-ice40/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ice40.substvars debian/nextpnr-ice40/usr/bin/nextpnr-ice40 install -m0755 -d debian/nextpnr-gowin/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-gowin.substvars debian/nextpnr-gowin/usr/bin/nextpnr-gowin install -m0755 -d debian/nextpnr-generic/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-generic.substvars debian/nextpnr-generic/usr/bin/nextpnr-generic install -m0755 -d debian/nextpnr-ecp5/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ecp5.substvars debian/nextpnr-ecp5/usr/bin/nextpnr-ecp5 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged install -m0755 -d debian/nextpnr-gowin-qt/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-gowin-qt.substvars debian/nextpnr-gowin-qt/usr/bin/nextpnr-gowin dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/nextpnr-generic/usr/bin/nextpnr-generic was not linked against libboost_iostreams.so.1.83.0 (it uses none of the library's symbols) install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ice40-qt.substvars debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 install -m0755 -d debian/nextpnr-ecp5-qt/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ecp5-qt.substvars debian/nextpnr-ecp5-qt/usr/bin/nextpnr-ecp5 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-riscv64-lp64d.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-riscv64-lp64d.so.1.usr-is-merged dh_installdeb -a install -m0755 -d debian/nextpnr-ice40/DEBIAN install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN install -m0755 -d debian/nextpnr-ice40-chipdb/DEBIAN install -m0755 -d debian/nextpnr-ecp5/DEBIAN install -m0755 -d debian/nextpnr-ecp5-qt/DEBIAN install -m0755 -d debian/nextpnr-ecp5-chipdb/DEBIAN install -m0755 -d debian/nextpnr-gowin/DEBIAN install -m0755 -d debian/nextpnr-gowin-qt/DEBIAN install -m0755 -d debian/nextpnr-gowin-chipdb/DEBIAN install -m0755 -d debian/nextpnr-generic/DEBIAN dh_gencontrol -a install -m0755 -d debian/nextpnr-generic/DEBIAN echo misc:Depends= >> debian/nextpnr-generic.substvars echo misc:Pre-Depends= >> debian/nextpnr-generic.substvars install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/.debhelper/nextpnr-generic/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-generic-dbgsym "-DDepends=nextpnr-generic (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-generic" -DBuild-Ids=0c3fbcc439f99f7e92bfea93f2e152e4b1bc4d46 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/nextpnr-ice40/DEBIAN echo misc:Depends= >> debian/nextpnr-ice40.substvars echo misc:Pre-Depends= >> debian/nextpnr-ice40.substvars install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ice40 -ldebian/changelog -Tdebian/nextpnr-ice40.substvars -Pdebian/.debhelper/nextpnr-ice40/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-ice40-dbgsym "-DDepends=nextpnr-ice40 (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ice40" -DBuild-Ids=fa992d5e07a6942266f99d9cf4d2cc7c677ebae4 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/nextpnr-ecp5/DEBIAN echo misc:Depends= >> debian/nextpnr-ecp5.substvars echo misc:Pre-Depends= >> debian/nextpnr-ecp5.substvars install -m0755 -d debian/.debhelper/nextpnr-ecp5/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ecp5 -ldebian/changelog -Tdebian/nextpnr-ecp5.substvars -Pdebian/.debhelper/nextpnr-ecp5/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-ecp5-dbgsym "-DDepends=nextpnr-ecp5 (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ecp5" -DBuild-Ids=87fca2d1ba3a4d5da7761dee9843c7b04a0f28a5 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/nextpnr-gowin/DEBIAN echo misc:Depends= >> debian/nextpnr-gowin.substvars echo misc:Pre-Depends= >> debian/nextpnr-gowin.substvars install -m0755 -d debian/.debhelper/nextpnr-gowin/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-gowin -ldebian/changelog -Tdebian/nextpnr-gowin.substvars -Pdebian/.debhelper/nextpnr-gowin/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-gowin-dbgsym "-DDepends=nextpnr-gowin (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-gowin" -DBuild-Ids=aed638f4d5ca5d71f1bba83669506d29ea5bc280 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks chmod 0644 -- debian/.debhelper/nextpnr-gowin/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-gowin -ldebian/changelog -Tdebian/nextpnr-gowin.substvars -Pdebian/nextpnr-gowin chmod 0644 -- debian/.debhelper/nextpnr-ecp5/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ecp5 -ldebian/changelog -Tdebian/nextpnr-ecp5.substvars -Pdebian/nextpnr-ecp5 chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/nextpnr-generic chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ice40 -ldebian/changelog -Tdebian/nextpnr-ice40.substvars -Pdebian/nextpnr-ice40 chmod 0644 -- debian/nextpnr-generic/DEBIAN/control chmod 0644 -- debian/nextpnr-ecp5/DEBIAN/control install -m0755 -d debian/nextpnr-ecp5-qt/DEBIAN echo misc:Depends= >> debian/nextpnr-ecp5-qt.substvars echo misc:Pre-Depends= >> debian/nextpnr-ecp5-qt.substvars install -m0755 -d debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ecp5-qt -ldebian/changelog -Tdebian/nextpnr-ecp5-qt.substvars -Pdebian/.debhelper/nextpnr-ecp5-qt/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-ecp5-qt-dbgsym "-DDepends=nextpnr-ecp5-qt (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ecp5-qt" -DBuild-Ids=9197ca6b269e2adcef78b3a5d3411bca1c7af4e3 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks chmod 0644 -- debian/nextpnr-gowin/DEBIAN/control install -m0755 -d debian/nextpnr-gowin-qt/DEBIAN echo misc:Depends= >> debian/nextpnr-gowin-qt.substvars echo misc:Pre-Depends= >> debian/nextpnr-gowin-qt.substvars install -m0755 -d debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-gowin-qt -ldebian/changelog -Tdebian/nextpnr-gowin-qt.substvars -Pdebian/.debhelper/nextpnr-gowin-qt/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-gowin-qt-dbgsym "-DDepends=nextpnr-gowin-qt (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-gowin-qt" -DBuild-Ids=11fd9acf2c13335e53b103e7ba92ea22230905e0 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks chmod 0644 -- debian/nextpnr-ice40/DEBIAN/control install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN echo misc:Depends= >> debian/nextpnr-ice40-qt.substvars echo misc:Pre-Depends= >> debian/nextpnr-ice40-qt.substvars install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/.debhelper/nextpnr-ice40-qt/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=nextpnr-ice40-qt-dbgsym "-DDepends=nextpnr-ice40-qt (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ice40-qt" -DBuild-Ids=f8ba53c268053c3c62f4e5c31ceddaef34211ed3 -DSection=debug -DPackage-Type=ddeb -UMulti-Arch -UReplaces -UBreaks chmod 0644 -- debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ecp5-qt -ldebian/changelog -Tdebian/nextpnr-ecp5-qt.substvars -Pdebian/nextpnr-ecp5-qt chmod 0644 -- debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-gowin-qt -ldebian/changelog -Tdebian/nextpnr-gowin-qt.substvars -Pdebian/nextpnr-gowin-qt chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/nextpnr-ice40-qt chmod 0644 -- debian/nextpnr-ecp5-qt/DEBIAN/control install -m0755 -d debian/nextpnr-ecp5-chipdb/DEBIAN echo misc:Depends= >> debian/nextpnr-ecp5-chipdb.substvars echo misc:Pre-Depends= >> debian/nextpnr-ecp5-chipdb.substvars dpkg-gencontrol -pnextpnr-ecp5-chipdb -ldebian/changelog -Tdebian/nextpnr-ecp5-chipdb.substvars -Pdebian/nextpnr-ecp5-chipdb chmod 0644 -- debian/nextpnr-gowin-qt/DEBIAN/control install -m0755 -d debian/nextpnr-gowin-chipdb/DEBIAN echo misc:Depends= >> debian/nextpnr-gowin-chipdb.substvars echo misc:Pre-Depends= >> debian/nextpnr-gowin-chipdb.substvars dpkg-gencontrol -pnextpnr-gowin-chipdb -ldebian/changelog -Tdebian/nextpnr-gowin-chipdb.substvars -Pdebian/nextpnr-gowin-chipdb chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/control install -m0755 -d debian/nextpnr-ice40-chipdb/DEBIAN echo misc:Depends= >> debian/nextpnr-ice40-chipdb.substvars echo misc:Pre-Depends= >> debian/nextpnr-ice40-chipdb.substvars dpkg-gencontrol -pnextpnr-ice40-chipdb -ldebian/changelog -Tdebian/nextpnr-ice40-chipdb.substvars -Pdebian/nextpnr-ice40-chipdb chmod 0644 -- debian/nextpnr-gowin-chipdb/DEBIAN/control chmod 0644 -- debian/nextpnr-ecp5-chipdb/DEBIAN/control chmod 0644 -- debian/nextpnr-ice40-chipdb/DEBIAN/control dh_md5sums -a install -m0755 -d debian/nextpnr-ecp5/DEBIAN install -m0755 -d debian/nextpnr-gowin/DEBIAN install -m0755 -d debian/nextpnr-generic/DEBIAN install -m0755 -d debian/nextpnr-ice40/DEBIAN cd debian/nextpnr-ecp5 >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/nextpnr-generic >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/nextpnr-gowin >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/nextpnr-ice40 >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ecp5/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ecp5/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-ecp5/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-generic/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN chmod 0644 -- debian/nextpnr-gowin/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-gowin/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-generic/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ice40/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-gowin/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/.debhelper/nextpnr-ice40/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-ecp5/dbgsym-root/DEBIAN/md5sums install -m0755 -d debian/nextpnr-ecp5-qt/DEBIAN chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/md5sums install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/md5sums cd debian/nextpnr-ice40-qt >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/nextpnr-ecp5-qt >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-gowin/dbgsym-root/DEBIAN/md5sums install -m0755 -d debian/nextpnr-gowin-qt/DEBIAN cd debian/nextpnr-gowin-qt >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN chmod 0644 -- debian/nextpnr-ecp5-qt/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-ice40-qt/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-gowin-qt/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-gowin-qt/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/md5sums install -m0755 -d debian/nextpnr-ice40-chipdb/DEBIAN chmod 0644 -- debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/DEBIAN/md5sums install -m0755 -d debian/nextpnr-ecp5-chipdb/DEBIAN cd debian/nextpnr-ice40-chipdb >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/DEBIAN/md5sums install -m0755 -d debian/nextpnr-gowin-chipdb/DEBIAN cd debian/nextpnr-ecp5-chipdb >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/nextpnr-gowin-chipdb >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-gowin-chipdb/DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ecp5-chipdb/DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ice40-chipdb/DEBIAN/md5sums dh_builddeb -a dpkg-deb --root-owner-group --build debian/nextpnr-ice40 .. dpkg-deb --root-owner-group --build debian/nextpnr-gowin .. dpkg-deb --root-owner-group --build debian/nextpnr-ecp5 .. dpkg-deb --root-owner-group --build debian/nextpnr-generic .. INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 INFO: pkgstriptranslations version 154 pkgstriptranslations: processing nextpnr-ecp5 (in debian/nextpnr-ecp5); do_strip: , oemstrip: pkgstriptranslations: processing nextpnr-ice40 (in debian/nextpnr-ice40); do_strip: , oemstrip: pkgstriptranslations: processing nextpnr-generic (in debian/nextpnr-generic); do_strip: , oemstrip: pkgstriptranslations: processing nextpnr-gowin (in debian/nextpnr-gowin); do_strip: , oemstrip: pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/nextpnr-generic/DEBIAN/control, package nextpnr-generic, directory debian/nextpnr-generic INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/nextpnr-ice40/DEBIAN/control, package nextpnr-ice40, directory debian/nextpnr-ice40 pkgstripfiles: processing control file: debian/nextpnr-ecp5/DEBIAN/control, package nextpnr-ecp5, directory debian/nextpnr-ecp5 INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... Searching for duplicated docs in dependency nextpnr-ice40-chipdb... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " symlinking changelog.Debian.gz in nextpnr-ice40 to file in nextpnr-ice40-chipdb pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-ice40 ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... pkgstripfiles: No PNG files. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... dpkg-deb: building package 'nextpnr-ice40' in '../nextpnr-ice40_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... pkgstripfiles: processing control file: debian/nextpnr-gowin/DEBIAN/control, package nextpnr-gowin, directory debian/nextpnr-gowin INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-ice40 INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstriptranslations: processing nextpnr-ice40-dbgsym (in debian/.debhelper/nextpnr-ice40/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/control, package nextpnr-ice40-dbgsym, directory debian/.debhelper/nextpnr-ice40/dbgsym-root dpkg-deb: building package 'nextpnr-ice40-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-ice40/nextpnr-ice40-dbgsym_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Renaming nextpnr-ice40-dbgsym_0.7-1_riscv64.deb to nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-ice40/nextpnr-ice40-dbgsym_0.7-1_riscv64.deb ../nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb dpkg-deb --root-owner-group --build debian/nextpnr-ice40-qt .. INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstriptranslations: processing nextpnr-ice40-qt (in debian/nextpnr-ice40-qt); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... pkgstripfiles: processing control file: debian/nextpnr-ice40-qt/DEBIAN/control, package nextpnr-ice40-qt, directory debian/nextpnr-ice40-qt INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Searching for duplicated docs in dependency nextpnr-ice40-chipdb... symlinking changelog.Debian.gz in nextpnr-ice40-qt to file in nextpnr-ice40-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-ice40-qt ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-ice40-qt' in '../nextpnr-ice40-qt_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40-qt/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-ice40-qt INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... pkgstriptranslations: processing nextpnr-ice40-qt-dbgsym (in debian/.debhelper/nextpnr-ice40-qt/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/control, package nextpnr-ice40-qt-dbgsym, directory debian/.debhelper/nextpnr-ice40-qt/dbgsym-root dpkg-deb: building package 'nextpnr-ice40-qt-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-ice40-qt/nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... Renaming nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.deb to nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-ice40-qt/nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.deb ../nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb dpkg-deb --root-owner-group --build debian/nextpnr-ice40-chipdb .. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstriptranslations: processing nextpnr-ice40-chipdb (in debian/nextpnr-ice40-chipdb); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: processing control file: debian/nextpnr-ice40-chipdb/DEBIAN/control, package nextpnr-ice40-chipdb, directory debian/nextpnr-ice40-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-ecp5) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-ice40-chipdb ... pkgstripfiles: No PNG files. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... dpkg-deb: building package 'nextpnr-ice40-chipdb' in '../nextpnr-ice40-chipdb_0.7-1_riscv64.deb'. Searching for duplicated docs in dependency nextpnr-ecp5-chipdb... symlinking changelog.Debian.gz in nextpnr-ecp5 to file in nextpnr-ecp5-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-ecp5 ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-ecp5' in '../nextpnr-ecp5_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ecp5/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-ecp5 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstriptranslations: processing nextpnr-ecp5-dbgsym (in debian/.debhelper/nextpnr-ecp5/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: processing control file: debian/.debhelper/nextpnr-ecp5/dbgsym-root/DEBIAN/control, package nextpnr-ecp5-dbgsym, directory debian/.debhelper/nextpnr-ecp5/dbgsym-root dpkg-deb: building package 'nextpnr-ecp5-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-ecp5/nextpnr-ecp5-dbgsym_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Renaming nextpnr-ecp5-dbgsym_0.7-1_riscv64.deb to nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-ecp5/nextpnr-ecp5-dbgsym_0.7-1_riscv64.deb ../nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb dpkg-deb --root-owner-group --build debian/nextpnr-ecp5-qt .. INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstriptranslations: processing nextpnr-ecp5-qt (in debian/nextpnr-ecp5-qt); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: processing control file: debian/nextpnr-ecp5-qt/DEBIAN/control, package nextpnr-ecp5-qt, directory debian/nextpnr-ecp5-qt INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... Searching for duplicated docs in dependency nextpnr-ecp5-chipdb... symlinking changelog.Debian.gz in nextpnr-ecp5-qt to file in nextpnr-ecp5-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-ecp5-qt ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-ecp5-qt' in '../nextpnr-ecp5-qt_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-ecp5-qt INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstriptranslations: processing nextpnr-ecp5-qt-dbgsym (in debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root/DEBIAN/control, package nextpnr-ecp5-qt-dbgsym, directory debian/.debhelper/nextpnr-ecp5-qt/dbgsym-root dpkg-deb: building package 'nextpnr-ecp5-qt-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-ecp5-qt/nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Renaming nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.deb to nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-ecp5-qt/nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.deb ../nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb dpkg-deb --root-owner-group --build debian/nextpnr-ecp5-chipdb .. INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstriptranslations: processing nextpnr-ecp5-chipdb (in debian/nextpnr-ecp5-chipdb); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... pkgstripfiles: processing control file: debian/nextpnr-ecp5-chipdb/DEBIAN/control, package nextpnr-ecp5-chipdb, directory debian/nextpnr-ecp5-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-gowin) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-ecp5-chipdb ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-ecp5-chipdb' in '../nextpnr-ecp5-chipdb_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Searching for duplicated docs in dependency nextpnr-gowin-chipdb... symlinking changelog.Debian.gz in nextpnr-gowin to file in nextpnr-gowin-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-gowin ... pkgstripfiles: No PNG files. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... dpkg-deb: building package 'nextpnr-gowin' in '../nextpnr-gowin_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-gowin/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-gowin INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstriptranslations: processing nextpnr-gowin-dbgsym (in debian/.debhelper/nextpnr-gowin/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/.debhelper/nextpnr-gowin/dbgsym-root/DEBIAN/control, package nextpnr-gowin-dbgsym, directory debian/.debhelper/nextpnr-gowin/dbgsym-root dpkg-deb: building package 'nextpnr-gowin-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-gowin/nextpnr-gowin-dbgsym_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Renaming nextpnr-gowin-dbgsym_0.7-1_riscv64.deb to nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-gowin/nextpnr-gowin-dbgsym_0.7-1_riscv64.deb ../nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb dpkg-deb --root-owner-group --build debian/nextpnr-gowin-qt .. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstriptranslations: processing nextpnr-gowin-qt (in debian/nextpnr-gowin-qt); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/nextpnr-gowin-qt/DEBIAN/control, package nextpnr-gowin-qt, directory debian/nextpnr-gowin-qt Searching for duplicated docs in dependency nextpnr-gowin-chipdb... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... symlinking changelog.Debian.gz in nextpnr-gowin-qt to file in nextpnr-gowin-chipdb pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-gowin-qt ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-gowin-qt' in '../nextpnr-gowin-qt_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-gowin-qt/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-gowin-qt INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstriptranslations: processing nextpnr-gowin-qt-dbgsym (in debian/.debhelper/nextpnr-gowin-qt/dbgsym-root); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/.debhelper/nextpnr-gowin-qt/dbgsym-root/DEBIAN/control, package nextpnr-gowin-qt-dbgsym, directory debian/.debhelper/nextpnr-gowin-qt/dbgsym-root dpkg-deb: building package 'nextpnr-gowin-qt-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-gowin-qt/nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.deb'. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... Renaming nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.deb to nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-gowin-qt/nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.deb ../nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb dpkg-deb --root-owner-group --build debian/nextpnr-gowin-chipdb .. INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstriptranslations version 154 INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstriptranslations: processing nextpnr-gowin-chipdb (in debian/nextpnr-gowin-chipdb); do_strip: , oemstrip: INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: processing control file: debian/nextpnr-gowin-chipdb/DEBIAN/control, package nextpnr-gowin-chipdb, directory debian/nextpnr-gowin-chipdb INFO: pkgstripfiles: waiting for lock (nextpnr-generic) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-gowin-chipdb ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-gowin-chipdb' in '../nextpnr-gowin-chipdb_0.7-1_riscv64.deb'. pkgstripfiles: Running PNG optimization (using 4 cpus) for package nextpnr-generic ... pkgstripfiles: No PNG files. dpkg-deb: building package 'nextpnr-generic' in '../nextpnr-generic_0.7-1_riscv64.deb'. dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-generic/dbgsym-root debian/.debhelper/scratch-space/build-nextpnr-generic INFO: pkgstriptranslations version 154 pkgstriptranslations: processing nextpnr-generic-dbgsym (in debian/.debhelper/nextpnr-generic/dbgsym-root); do_strip: , oemstrip: pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/control, package nextpnr-generic-dbgsym, directory debian/.debhelper/nextpnr-generic/dbgsym-root dpkg-deb: building package 'nextpnr-generic-dbgsym' in 'debian/.debhelper/scratch-space/build-nextpnr-generic/nextpnr-generic-dbgsym_0.7-1_riscv64.deb'. Renaming nextpnr-generic-dbgsym_0.7-1_riscv64.deb to nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb mv debian/.debhelper/scratch-space/build-nextpnr-generic/nextpnr-generic-dbgsym_0.7-1_riscv64.deb ../nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb dpkg-genbuildinfo --build=any -O../nextpnr_0.7-1_riscv64.buildinfo dpkg-genchanges --build=any -mLaunchpad Build Daemon -O../nextpnr_0.7-1_riscv64.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2024-05-06T08:04:53Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ nextpnr_0.7-1_riscv64.changes: ------------------------------ Format: 1.8 Date: Sun, 05 May 2024 00:17:52 +0900 Source: nextpnr Binary: nextpnr-ecp5 nextpnr-ecp5-chipdb nextpnr-ecp5-qt nextpnr-generic nextpnr-gowin nextpnr-gowin-chipdb nextpnr-gowin-qt nextpnr-ice40 nextpnr-ice40-chipdb nextpnr-ice40-qt Built-For-Profiles: noudeb Architecture: riscv64 Version: 0.7-1 Distribution: oracular-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Simon Richter Description: nextpnr-ecp5 - FPGA place and route tool for Lattice ECP5 nextpnr-ecp5-chipdb - FPGA place and route tool for Lattice ECP5 -- chipdb files nextpnr-ecp5-qt - FPGA place and route tool for Lattice ECP5 - with GUI nextpnr-generic - FPGA place and route tool for Generic FPGAs nextpnr-gowin - FPGA place and route tool for Gowin GW1N nextpnr-gowin-chipdb - FPGA place and route tool for Gowin GW1N -- chipdb files nextpnr-gowin-qt - FPGA place and route tool for Gowin GW1N - with GUI nextpnr-ice40 - FPGA place and route tool for Lattice iCE40 nextpnr-ice40-chipdb - FPGA place and route tool for Lattice iCE40 -- chipdb files nextpnr-ice40-qt - FPGA place and route tool for Lattice iCE40 - with GUI Changes: nextpnr (0.7-1) unstable; urgency=medium . * New upstream release. Checksums-Sha1: 74a6d3af3d4b7a5d7426fd968493ff1d9aa6625e 3247588 nextpnr-ecp5-chipdb_0.7-1_riscv64.deb 09749c36f93e1485c899e9bdc4a7ed787e6aaebd 20392650 nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb 38c48569f9afd450768550c0293cf75163d7a8b2 30230846 nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb 0e218ada17349b298cb261d3b10a431ac18316ce 1866776 nextpnr-ecp5-qt_0.7-1_riscv64.deb b0b3e567247792e8b277ebc963159e34b667abfc 1152008 nextpnr-ecp5_0.7-1_riscv64.deb b2f090ada810693016acdfffe7532d97958161f5 17879946 nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb 39889124a3da4eeefc72448c16a363c90cc1a496 761918 nextpnr-generic_0.7-1_riscv64.deb 2be7f699d23d3481add2da5a0c0b4915da1b2186 226022 nextpnr-gowin-chipdb_0.7-1_riscv64.deb e53c4ac07822cc98dcb80d951143cb4726aebc3a 16270742 nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb 98af3cf31e46f4d35cd61b75ca92b518ea5082ab 26727874 nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb 996847e1b6bce38513257dba93e6e0cddf8727c6 1707170 nextpnr-gowin-qt_0.7-1_riscv64.deb e908dc5a88b6539e23aed90d00597c3a4bff9956 755776 nextpnr-gowin_0.7-1_riscv64.deb ee5ed3eb8bf261106032533c70f865da8bfb4baf 47645604 nextpnr-ice40-chipdb_0.7-1_riscv64.deb 3318d421fff72368980dd3ffb7d9d9df53b96246 17660360 nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb e82a5d9bdf8fb9051ce265f764ff8e7723fbe6b1 27217816 nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb a2f84bb11477cea41a8d53f26fc43158442c6397 1541458 nextpnr-ice40-qt_0.7-1_riscv64.deb 1ba30810359a0506bd6d84032ba85a0b0a2e13b2 848782 nextpnr-ice40_0.7-1_riscv64.deb 624e5889a93a65834f70bfafd601feb1c5985230 18045 nextpnr_0.7-1_riscv64.buildinfo Checksums-Sha256: 9f627038352e5fbc5f430289103746fa22883dec9d51e52385ca2a473188838c 3247588 nextpnr-ecp5-chipdb_0.7-1_riscv64.deb 522f4f09662e70bac16d697adf12c2e8a0c67abddb50253316ac8e72407ba406 20392650 nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb d66fb5b564afc90822a958ae6297d3f3dea33164b4bfaf2a0f6643e4cbfc09c2 30230846 nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb 72d1dfda6d7780ce65a4ca3d6c7db6b1009907c5720866ee6769704fc08478c6 1866776 nextpnr-ecp5-qt_0.7-1_riscv64.deb 6202edc944c2d9d0d93cc40f7c431858dfde50a52a34f0e3613515eadf9da3e8 1152008 nextpnr-ecp5_0.7-1_riscv64.deb 91b450f7ae9f44862f1d1604023d46b7652e9954911f2eaa102428b964072097 17879946 nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb 0277ad960a73c17386d9cf77bd5c6bebab33fd6a0296a8290210f481d51dfdd4 761918 nextpnr-generic_0.7-1_riscv64.deb 5992d9e9b081b1df875af5865848485f21f5d11dfb341252562d55aa2d50c552 226022 nextpnr-gowin-chipdb_0.7-1_riscv64.deb 586f360bd82a7247cd602f27d3245b467abd77675971a54b934697babd3699d2 16270742 nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb 2b1e7cf652a06bcd6e87153d25bc972a3c869c96bf84eec1311ffe423fa5c6ad 26727874 nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb 7d4080af7a25a6c2c94a41600b93063b9359fa43b26d09d47523ce264511c3d8 1707170 nextpnr-gowin-qt_0.7-1_riscv64.deb 32f484e8f475de15f3a413b85581163fd30397466d725a0c1263b12636ebb038 755776 nextpnr-gowin_0.7-1_riscv64.deb fd6214a1d90863f1372d4db9ea5eb3aac6bff3a3555b48a1b2babc8173bef642 47645604 nextpnr-ice40-chipdb_0.7-1_riscv64.deb 0dc10fe7e838a7788fffd384c8df66e0133a4bac3c5f958d6547a64827b70824 17660360 nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb 896b01309d3201ad1617192a10c5516c36f5a52229f66753789bc9b69cde27a1 27217816 nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb 486361fd9b0c78d985dc1d4b7d553d744d5f63a63f6b84b071d427a3cd2b7a26 1541458 nextpnr-ice40-qt_0.7-1_riscv64.deb d6b28dbfd85b438a112c2d3282bcc557ed4fe116a1b67a3f36d286717e7d92ec 848782 nextpnr-ice40_0.7-1_riscv64.deb d5bbe5f854fa696c0a0b945ff5c90ab368c37c51cc6a22412d33b3208cacc117 18045 nextpnr_0.7-1_riscv64.buildinfo Files: 990ee256717854e481b99e3ddeb1ae30 3247588 electronics optional nextpnr-ecp5-chipdb_0.7-1_riscv64.deb 77362e216255246c8be4612bbdd484da 20392650 debug optional nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb 308bf495d85309c412eca14b7b80482d 30230846 debug optional nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb f2bd7769dd16bbf1ed7defd86646bcdd 1866776 electronics optional nextpnr-ecp5-qt_0.7-1_riscv64.deb b87cd95b570fe962d76c4f09b929305b 1152008 electronics optional nextpnr-ecp5_0.7-1_riscv64.deb 03ccc7241de447c455d20daaa784f93f 17879946 debug optional nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb 60ab603a6c5c6d903b26f4580a7f2c81 761918 electronics optional nextpnr-generic_0.7-1_riscv64.deb 0f957e6c7694cd3813842628fa74fe83 226022 electronics optional nextpnr-gowin-chipdb_0.7-1_riscv64.deb de77f6f032c04d55ce5076d48a966865 16270742 debug optional nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb 847b7f7869e39c8d4a94d488465cc494 26727874 debug optional nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb bcc09dabed28eabe64c2c0e4c83bf682 1707170 electronics optional nextpnr-gowin-qt_0.7-1_riscv64.deb e7172398f0cbe92d6d3d7f43843e9c1a 755776 electronics optional nextpnr-gowin_0.7-1_riscv64.deb 85207c9b8a646b1d4c3a3a6834867e6a 47645604 electronics optional nextpnr-ice40-chipdb_0.7-1_riscv64.deb 39d283ade8a795081cea95762b7fb4e0 17660360 debug optional nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb 2d45cf1dc4cb3e6eb19e5eb8c81d6b6b 27217816 debug optional nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb b38b5c044b6a768077a84b69dc9fd9be 1541458 electronics optional nextpnr-ice40-qt_0.7-1_riscv64.deb 352eb97906cc1110cd18d425626171c5 848782 electronics optional nextpnr-ice40_0.7-1_riscv64.deb e5dfdd52429bb9e67ee15fb1f8ea29fc 18045 electronics optional nextpnr_0.7-1_riscv64.buildinfo /<>/nextpnr_0.7-1_riscv64.changes.new could not be renamed to /<>/nextpnr_0.7-1_riscv64.changes: Illegal seek Distribution field may be wrong!!! +------------------------------------------------------------------------------+ | Buildinfo | +------------------------------------------------------------------------------+ Format: 1.0 Source: nextpnr Binary: nextpnr-ecp5 nextpnr-ecp5-chipdb nextpnr-ecp5-dbgsym nextpnr-ecp5-qt nextpnr-ecp5-qt-dbgsym nextpnr-generic nextpnr-generic-dbgsym nextpnr-gowin nextpnr-gowin-chipdb nextpnr-gowin-dbgsym nextpnr-gowin-qt nextpnr-gowin-qt-dbgsym nextpnr-ice40 nextpnr-ice40-chipdb nextpnr-ice40-dbgsym nextpnr-ice40-qt nextpnr-ice40-qt-dbgsym Architecture: riscv64 Version: 0.7-1 Checksums-Md5: 990ee256717854e481b99e3ddeb1ae30 3247588 nextpnr-ecp5-chipdb_0.7-1_riscv64.deb 77362e216255246c8be4612bbdd484da 20392650 nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb 308bf495d85309c412eca14b7b80482d 30230846 nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb f2bd7769dd16bbf1ed7defd86646bcdd 1866776 nextpnr-ecp5-qt_0.7-1_riscv64.deb b87cd95b570fe962d76c4f09b929305b 1152008 nextpnr-ecp5_0.7-1_riscv64.deb 03ccc7241de447c455d20daaa784f93f 17879946 nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb 60ab603a6c5c6d903b26f4580a7f2c81 761918 nextpnr-generic_0.7-1_riscv64.deb 0f957e6c7694cd3813842628fa74fe83 226022 nextpnr-gowin-chipdb_0.7-1_riscv64.deb de77f6f032c04d55ce5076d48a966865 16270742 nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb 847b7f7869e39c8d4a94d488465cc494 26727874 nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb bcc09dabed28eabe64c2c0e4c83bf682 1707170 nextpnr-gowin-qt_0.7-1_riscv64.deb e7172398f0cbe92d6d3d7f43843e9c1a 755776 nextpnr-gowin_0.7-1_riscv64.deb 85207c9b8a646b1d4c3a3a6834867e6a 47645604 nextpnr-ice40-chipdb_0.7-1_riscv64.deb 39d283ade8a795081cea95762b7fb4e0 17660360 nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb 2d45cf1dc4cb3e6eb19e5eb8c81d6b6b 27217816 nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb b38b5c044b6a768077a84b69dc9fd9be 1541458 nextpnr-ice40-qt_0.7-1_riscv64.deb 352eb97906cc1110cd18d425626171c5 848782 nextpnr-ice40_0.7-1_riscv64.deb Checksums-Sha1: 74a6d3af3d4b7a5d7426fd968493ff1d9aa6625e 3247588 nextpnr-ecp5-chipdb_0.7-1_riscv64.deb 09749c36f93e1485c899e9bdc4a7ed787e6aaebd 20392650 nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb 38c48569f9afd450768550c0293cf75163d7a8b2 30230846 nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb 0e218ada17349b298cb261d3b10a431ac18316ce 1866776 nextpnr-ecp5-qt_0.7-1_riscv64.deb b0b3e567247792e8b277ebc963159e34b667abfc 1152008 nextpnr-ecp5_0.7-1_riscv64.deb b2f090ada810693016acdfffe7532d97958161f5 17879946 nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb 39889124a3da4eeefc72448c16a363c90cc1a496 761918 nextpnr-generic_0.7-1_riscv64.deb 2be7f699d23d3481add2da5a0c0b4915da1b2186 226022 nextpnr-gowin-chipdb_0.7-1_riscv64.deb e53c4ac07822cc98dcb80d951143cb4726aebc3a 16270742 nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb 98af3cf31e46f4d35cd61b75ca92b518ea5082ab 26727874 nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb 996847e1b6bce38513257dba93e6e0cddf8727c6 1707170 nextpnr-gowin-qt_0.7-1_riscv64.deb e908dc5a88b6539e23aed90d00597c3a4bff9956 755776 nextpnr-gowin_0.7-1_riscv64.deb ee5ed3eb8bf261106032533c70f865da8bfb4baf 47645604 nextpnr-ice40-chipdb_0.7-1_riscv64.deb 3318d421fff72368980dd3ffb7d9d9df53b96246 17660360 nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb e82a5d9bdf8fb9051ce265f764ff8e7723fbe6b1 27217816 nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb a2f84bb11477cea41a8d53f26fc43158442c6397 1541458 nextpnr-ice40-qt_0.7-1_riscv64.deb 1ba30810359a0506bd6d84032ba85a0b0a2e13b2 848782 nextpnr-ice40_0.7-1_riscv64.deb Checksums-Sha256: 9f627038352e5fbc5f430289103746fa22883dec9d51e52385ca2a473188838c 3247588 nextpnr-ecp5-chipdb_0.7-1_riscv64.deb 522f4f09662e70bac16d697adf12c2e8a0c67abddb50253316ac8e72407ba406 20392650 nextpnr-ecp5-dbgsym_0.7-1_riscv64.ddeb d66fb5b564afc90822a958ae6297d3f3dea33164b4bfaf2a0f6643e4cbfc09c2 30230846 nextpnr-ecp5-qt-dbgsym_0.7-1_riscv64.ddeb 72d1dfda6d7780ce65a4ca3d6c7db6b1009907c5720866ee6769704fc08478c6 1866776 nextpnr-ecp5-qt_0.7-1_riscv64.deb 6202edc944c2d9d0d93cc40f7c431858dfde50a52a34f0e3613515eadf9da3e8 1152008 nextpnr-ecp5_0.7-1_riscv64.deb 91b450f7ae9f44862f1d1604023d46b7652e9954911f2eaa102428b964072097 17879946 nextpnr-generic-dbgsym_0.7-1_riscv64.ddeb 0277ad960a73c17386d9cf77bd5c6bebab33fd6a0296a8290210f481d51dfdd4 761918 nextpnr-generic_0.7-1_riscv64.deb 5992d9e9b081b1df875af5865848485f21f5d11dfb341252562d55aa2d50c552 226022 nextpnr-gowin-chipdb_0.7-1_riscv64.deb 586f360bd82a7247cd602f27d3245b467abd77675971a54b934697babd3699d2 16270742 nextpnr-gowin-dbgsym_0.7-1_riscv64.ddeb 2b1e7cf652a06bcd6e87153d25bc972a3c869c96bf84eec1311ffe423fa5c6ad 26727874 nextpnr-gowin-qt-dbgsym_0.7-1_riscv64.ddeb 7d4080af7a25a6c2c94a41600b93063b9359fa43b26d09d47523ce264511c3d8 1707170 nextpnr-gowin-qt_0.7-1_riscv64.deb 32f484e8f475de15f3a413b85581163fd30397466d725a0c1263b12636ebb038 755776 nextpnr-gowin_0.7-1_riscv64.deb fd6214a1d90863f1372d4db9ea5eb3aac6bff3a3555b48a1b2babc8173bef642 47645604 nextpnr-ice40-chipdb_0.7-1_riscv64.deb 0dc10fe7e838a7788fffd384c8df66e0133a4bac3c5f958d6547a64827b70824 17660360 nextpnr-ice40-dbgsym_0.7-1_riscv64.ddeb 896b01309d3201ad1617192a10c5516c36f5a52229f66753789bc9b69cde27a1 27217816 nextpnr-ice40-qt-dbgsym_0.7-1_riscv64.ddeb 486361fd9b0c78d985dc1d4b7d553d744d5f63a63f6b84b071d427a3cd2b7a26 1541458 nextpnr-ice40-qt_0.7-1_riscv64.deb d6b28dbfd85b438a112c2d3282bcc557ed4fe116a1b67a3f36d286717e7d92ec 848782 nextpnr-ice40_0.7-1_riscv64.deb Build-Origin: Ubuntu Build-Architecture: riscv64 Build-Date: Mon, 06 May 2024 08:04:29 +0000 Build-Path: /<> Build-Tainted-By: merged-usr-via-aliased-dirs usr-local-has-programs Installed-Build-Depends: autoconf (= 2.71-3), automake (= 1:1.16.5-1.3ubuntu1), autopoint (= 0.21-14ubuntu2), autotools-dev (= 20220109.1), base-files (= 13.1ubuntu1), base-passwd (= 3.6.3build1), bash (= 5.2.21-2ubuntu4), binutils (= 2.42-4ubuntu2), binutils-common (= 2.42-4ubuntu2), binutils-riscv64-linux-gnu (= 2.42-4ubuntu2), bsdextrautils (= 2.39.3-9ubuntu6), bsdutils (= 1:2.39.3-9ubuntu6), build-essential (= 12.10ubuntu1), bzip2 (= 1.0.8-5.1), cmake (= 3.29.2-2), cmake-data (= 3.29.2-2), coreutils (= 9.4-3ubuntu6), cpp (= 4:13.2.0-7ubuntu1), cpp-13 (= 13.2.0-24ubuntu1), cpp-13-riscv64-linux-gnu (= 13.2.0-24ubuntu1), cpp-riscv64-linux-gnu (= 4:13.2.0-7ubuntu1), dash (= 0.5.12-6ubuntu5), debconf (= 1.5.86ubuntu1), debhelper (= 13.14.1ubuntu5), debianutils (= 5.17build1), debugedit (= 1:5.0-5build2), dh-autoreconf (= 20), dh-strip-nondeterminism (= 1.13.1-1), diffutils (= 1:3.10-1build1), dpkg (= 1.22.6ubuntu10), dpkg-dev (= 1.22.6ubuntu10), dwz (= 0.15-1build6), file (= 1:5.45-3build1), findutils (= 4.9.0-5build1), fontconfig (= 2.15.0-1.1ubuntu2), fontconfig-config (= 2.15.0-1.1ubuntu2), fonts-dejavu-core (= 2.37-8), fonts-dejavu-mono (= 2.37-8), fpga-icestorm-chipdb (= 0~20230218gitd20a5e9-1), fpga-trellis-database (= 1.4-2build4), g++ (= 4:13.2.0-7ubuntu1), g++-13 (= 13.2.0-24ubuntu1), g++-13-riscv64-linux-gnu (= 13.2.0-24ubuntu1), g++-riscv64-linux-gnu (= 4:13.2.0-7ubuntu1), gcc (= 4:13.2.0-7ubuntu1), gcc-13 (= 13.2.0-24ubuntu1), gcc-13-base (= 13.2.0-24ubuntu1), gcc-13-riscv64-linux-gnu (= 13.2.0-24ubuntu1), gcc-14-base (= 14-20240429-1ubuntu1), gcc-riscv64-linux-gnu (= 4:13.2.0-7ubuntu1), gettext (= 0.21-14ubuntu2), gettext-base (= 0.21-14ubuntu2), grep (= 3.11-4build1), groff-base (= 1.23.0-4), gzip (= 1.12-1ubuntu3), help2man (= 1.49.3), hostname (= 3.23+nmu2ubuntu2), icu-devtools (= 74.2-1ubuntu3), init-system-helpers (= 1.66ubuntu1), intltool-debian (= 0.35.0+20060710.6), libacl1 (= 2.3.2-2), libarchive-zip-perl (= 1.68-1), libarchive13t64 (= 3.7.2-2), libasan8 (= 14-20240429-1ubuntu1), libatomic1 (= 14-20240429-1ubuntu1), libattr1 (= 1:2.5.2-1build1), libaudit-common (= 1:3.1.2-2.1build1), libaudit1 (= 1:3.1.2-2.1build1), libavahi-client3 (= 0.8-13ubuntu6), libavahi-common-data (= 0.8-13ubuntu6), libavahi-common3 (= 0.8-13ubuntu6), libbinutils (= 2.42-4ubuntu2), libblkid1 (= 2.39.3-9ubuntu6), libboost-atomic1.83-dev (= 1.83.0-2.1ubuntu3), libboost-atomic1.83.0 (= 1.83.0-2.1ubuntu3), libboost-chrono1.83-dev (= 1.83.0-2.1ubuntu3), libboost-chrono1.83.0t64 (= 1.83.0-2.1ubuntu3), libboost-date-time1.83-dev (= 1.83.0-2.1ubuntu3), libboost-date-time1.83.0 (= 1.83.0-2.1ubuntu3), libboost-filesystem-dev (= 1.83.0.1ubuntu2), libboost-filesystem1.83-dev (= 1.83.0-2.1ubuntu3), libboost-filesystem1.83.0 (= 1.83.0-2.1ubuntu3), libboost-iostreams-dev (= 1.83.0.1ubuntu2), libboost-iostreams1.83-dev (= 1.83.0-2.1ubuntu3), libboost-iostreams1.83.0 (= 1.83.0-2.1ubuntu3), libboost-program-options-dev (= 1.83.0.1ubuntu2), libboost-program-options1.83-dev (= 1.83.0-2.1ubuntu3), libboost-program-options1.83.0 (= 1.83.0-2.1ubuntu3), libboost-python-dev (= 1.83.0.1ubuntu2), libboost-python1.83-dev (= 1.83.0-2.1ubuntu3), libboost-python1.83.0 (= 1.83.0-2.1ubuntu3), libboost-regex1.83-dev (= 1.83.0-2.1ubuntu3), libboost-regex1.83.0 (= 1.83.0-2.1ubuntu3), libboost-serialization1.83-dev (= 1.83.0-2.1ubuntu3), libboost-serialization1.83.0 (= 1.83.0-2.1ubuntu3), libboost-system1.83-dev (= 1.83.0-2.1ubuntu3), libboost-system1.83.0 (= 1.83.0-2.1ubuntu3), libboost-thread-dev (= 1.83.0.1ubuntu2), libboost-thread1.83-dev (= 1.83.0-2.1ubuntu3), libboost-thread1.83.0 (= 1.83.0-2.1ubuntu3), libboost1.83-dev (= 1.83.0-2.1ubuntu3), libbrotli1 (= 1.1.0-2build2), libbsd0 (= 0.12.2-1), libbz2-1.0 (= 1.0.8-5.1), libc-bin (= 2.39-0ubuntu8.1), libc-dev-bin (= 2.39-0ubuntu8.1), libc6 (= 2.39-0ubuntu8.1), libc6-dev (= 2.39-0ubuntu8.1), libcap-ng0 (= 0.8.5-1), libcap2 (= 1:2.66-5ubuntu2), libcc1-0 (= 14-20240429-1ubuntu1), libcom-err2 (= 1.47.0-2.4~exp1ubuntu4), libcrypt-dev (= 1:4.4.36-4build1), libcrypt1 (= 1:4.4.36-4build1), libctf-nobfd0 (= 2.42-4ubuntu2), libctf0 (= 2.42-4ubuntu2), libcups2t64 (= 2.4.7-1.2ubuntu7), libcurl4t64 (= 8.5.0-2ubuntu10.1), libdb5.3t64 (= 5.3.28+dfsg2-7), libdbus-1-3 (= 1.14.10-4ubuntu4), libdebconfclient0 (= 0.271ubuntu3), libdebhelper-perl (= 13.14.1ubuntu5), libdouble-conversion3 (= 3.3.0-1build1), libdpkg-perl (= 1.22.6ubuntu10), libdrm-amdgpu1 (= 2.4.120-2build1), libdrm-common (= 2.4.120-2build1), libdrm-nouveau2 (= 2.4.120-2build1), libdrm-radeon1 (= 2.4.120-2build1), libdrm2 (= 2.4.120-2build1), libdw1t64 (= 0.191-1), libedit2 (= 3.1-20230828-1build1), libegl-dev (= 1.7.0-1build1), libegl-mesa0 (= 24.0.5-1ubuntu1), libegl1 (= 1.7.0-1build1), libeigen3-dev (= 3.4.0-4), libelf1t64 (= 0.191-1), libevdev2 (= 1.13.1+dfsg-1build1), libexpat1 (= 2.6.2-1), libexpat1-dev (= 2.6.2-1), libffi8 (= 3.4.6-1build1), libfile-stripnondeterminism-perl (= 1.13.1-1), libfontconfig1 (= 2.15.0-1.1ubuntu2), libfreetype6 (= 2.13.2+dfsg-1build3), libgbm1 (= 24.0.5-1ubuntu1), libgcc-13-dev (= 13.2.0-24ubuntu1), libgcc-s1 (= 14-20240429-1ubuntu1), libgcrypt20 (= 1.10.3-2build1), libgdbm-compat4t64 (= 1.23-5.1build1), libgdbm6t64 (= 1.23-5.1build1), libgl-dev (= 1.7.0-1build1), libgl1 (= 1.7.0-1build1), libgl1-mesa-dri (= 24.0.5-1ubuntu1), libglapi-mesa (= 24.0.5-1ubuntu1), libglib2.0-0t64 (= 2.80.0-6ubuntu1), libglu1-mesa (= 9.0.2-1.1build1), libglu1-mesa-dev (= 9.0.2-1.1build1), libglvnd0 (= 1.7.0-1build1), libglx-dev (= 1.7.0-1build1), libglx-mesa0 (= 24.0.5-1ubuntu1), libglx0 (= 1.7.0-1build1), libgmp10 (= 2:6.3.0+dfsg-2ubuntu6), libgnutls30t64 (= 3.8.3-1.1ubuntu3.1), libgomp1 (= 14-20240429-1ubuntu1), libgpg-error0 (= 1.47-3build2), libgraphite2-3 (= 1.3.14-2build1), libgssapi-krb5-2 (= 1.20.1-6ubuntu2), libgudev-1.0-0 (= 1:238-5ubuntu1), libharfbuzz0b (= 8.3.0-2build2), libhogweed6t64 (= 3.9.1-2.2build1), libice6 (= 2:1.0.10-1build3), libicu-dev (= 74.2-1ubuntu3), libicu74 (= 74.2-1ubuntu3), libidn2-0 (= 2.3.7-2build1), libinput-bin (= 1.25.0-1ubuntu2), libinput10 (= 1.25.0-1ubuntu2), libisl23 (= 0.26-3build1), libjansson4 (= 2.14-2build2), libjpeg-turbo8 (= 2.1.5-2ubuntu2), libjpeg8 (= 8c-2ubuntu11), libjs-jquery (= 3.6.1+dfsg+~3.5.14-1), libjs-sphinxdoc (= 7.2.6-6), libjs-underscore (= 1.13.4~dfsg+~1.11.4-3), libjsoncpp25 (= 1.9.5-6build1), libk5crypto3 (= 1.20.1-6ubuntu2), libkeyutils1 (= 1.6.3-3build1), libkrb5-3 (= 1.20.1-6ubuntu2), libkrb5support0 (= 1.20.1-6ubuntu2), libldap2 (= 2.6.7+dfsg-1~exp1ubuntu8), libllvm17t64 (= 1:17.0.6-9ubuntu1), liblocale-gettext-perl (= 1.07-6ubuntu5), liblz4-1 (= 1.9.4-2), liblzma5 (= 5.6.1+really5.4.5-1), libmagic-mgc (= 1:5.45-3build1), libmagic1t64 (= 1:5.45-3build1), libmd0 (= 1.1.0-2build1), libmd4c0 (= 0.4.8-1build1), libmount1 (= 2.39.3-9ubuntu6), libmpc3 (= 1.3.1-1build1), libmpfr6 (= 4.2.1-1build1), libmtdev1t64 (= 1.1.6-1.2), libncursesw6 (= 6.4+20240113-1ubuntu2), libnettle8t64 (= 3.9.1-2.2build1), libnghttp2-14 (= 1.61.0-1), libopengl-dev (= 1.7.0-1build1), libopengl0 (= 1.7.0-1build1), libp11-kit0 (= 0.25.3-4ubuntu2), libpam-modules (= 1.5.3-7ubuntu1), libpam-modules-bin (= 1.5.3-7ubuntu1), libpam-runtime (= 1.5.3-7ubuntu1), libpam0g (= 1.5.3-7ubuntu1), libpcre2-16-0 (= 10.42-4ubuntu2), libpcre2-8-0 (= 10.42-4ubuntu2), libperl5.38t64 (= 5.38.2-4), libpipeline1 (= 1.5.7-2), libpkgconf3 (= 1.8.1-2build1), libpng16-16t64 (= 1.6.43-5build1), libproc2-0 (= 2:4.0.4-4ubuntu3), libpsl5t64 (= 0.21.2-1.1build1), libpthread-stubs0-dev (= 0.4-1build3), libpython3-dev (= 3.12.3-0ubuntu1), libpython3-stdlib (= 3.12.3-0ubuntu1), libpython3.12-dev (= 3.12.3-1), libpython3.12-minimal (= 3.12.3-1), libpython3.12-stdlib (= 3.12.3-1), libpython3.12t64 (= 3.12.3-1), libqt5concurrent5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5core5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5dbus5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5gui5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5network5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5opengl5-dev (= 5.15.13+dfsg-1ubuntu1), libqt5opengl5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5printsupport5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5sql5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5test5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5widgets5t64 (= 5.15.13+dfsg-1ubuntu1), libqt5xml5t64 (= 5.15.13+dfsg-1ubuntu1), libreadline8t64 (= 8.2-4build1), librhash0 (= 1.4.3-3build1), librtmp1 (= 2.4+20151223.gitfa8646d.1-2build7), libsasl2-2 (= 2.1.28+dfsg1-5ubuntu3), libsasl2-modules-db (= 2.1.28+dfsg1-5ubuntu3), libselinux1 (= 3.5-2ubuntu2), libsensors-config (= 1:3.6.0-9build1), libsensors5 (= 1:3.6.0-9build1), libsframe1 (= 2.42-4ubuntu2), libsm6 (= 2:1.2.3-1build3), libsmartcols1 (= 2.39.3-9ubuntu6), libsqlite3-0 (= 3.45.3-1), libssh-4 (= 0.10.6-2build2), libssl3t64 (= 3.0.13-0ubuntu3), libstdc++-13-dev (= 13.2.0-24ubuntu1), libstdc++6 (= 14-20240429-1ubuntu1), libsub-override-perl (= 0.10-1), libsystemd0 (= 255.4-1ubuntu8), libtasn1-6 (= 4.19.0-3build1), libtinfo6 (= 6.4+20240113-1ubuntu2), libtool (= 2.4.7-7build1), libubsan1 (= 14-20240429-1ubuntu1), libuchardet0 (= 0.0.8-1build1), libudev1 (= 255.4-1ubuntu8), libunistring5 (= 1.2-1), libuuid1 (= 2.39.3-9ubuntu6), libuv1t64 (= 1.48.0-1.1build1), libvulkan-dev (= 1.3.280.0-1), libvulkan1 (= 1.3.280.0-1), libwacom-common (= 2.10.0-2), libwacom9 (= 2.10.0-2), libwayland-client0 (= 1.22.0-2.1build1), libwayland-server0 (= 1.22.0-2.1build1), libx11-6 (= 2:1.8.7-1build1), libx11-data (= 2:1.8.7-1build1), libx11-dev (= 2:1.8.7-1build1), libx11-xcb1 (= 2:1.8.7-1build1), libxau-dev (= 1:1.0.9-1build6), libxau6 (= 1:1.0.9-1build6), libxcb-dri2-0 (= 1.15-1ubuntu2), libxcb-dri3-0 (= 1.15-1ubuntu2), libxcb-glx0 (= 1.15-1ubuntu2), libxcb-icccm4 (= 0.4.1-1.1build3), libxcb-image0 (= 0.4.0-2build1), libxcb-keysyms1 (= 0.4.0-1build4), libxcb-present0 (= 1.15-1ubuntu2), libxcb-randr0 (= 1.15-1ubuntu2), libxcb-render-util0 (= 0.3.9-1build4), libxcb-render0 (= 1.15-1ubuntu2), libxcb-shape0 (= 1.15-1ubuntu2), libxcb-shm0 (= 1.15-1ubuntu2), libxcb-sync1 (= 1.15-1ubuntu2), libxcb-util1 (= 0.4.0-1build3), libxcb-xfixes0 (= 1.15-1ubuntu2), libxcb-xinerama0 (= 1.15-1ubuntu2), libxcb-xinput0 (= 1.15-1ubuntu2), libxcb-xkb1 (= 1.15-1ubuntu2), libxcb1 (= 1.15-1ubuntu2), libxcb1-dev (= 1.15-1ubuntu2), libxdmcp-dev (= 1:1.1.3-0ubuntu6), libxdmcp6 (= 1:1.1.3-0ubuntu6), libxext-dev (= 2:1.3.4-1build2), libxext6 (= 2:1.3.4-1build2), libxfixes3 (= 1:6.0.0-2build1), libxkbcommon-x11-0 (= 1.6.0-1build1), libxkbcommon0 (= 1.6.0-1build1), libxml2 (= 2.9.14+dfsg-1.3ubuntu3), libxrender1 (= 1:0.9.10-1.1build1), libxshmfence1 (= 1.3-1build5), libxxf86vm1 (= 1:1.1.4-1build4), libzstd1 (= 1.5.5+dfsg2-2build1), linux-libc-dev (= 6.8.0-31.31), login (= 1:4.13+dfsg1-4ubuntu3), lto-disabled-list (= 48), m4 (= 1.4.19-4build1), make (= 4.3-4.1build2), man-db (= 2.12.1-1), mawk (= 1.3.4.20240123-1build1), media-types (= 10.1.0), ncurses-base (= 6.4+20240113-1ubuntu2), ncurses-bin (= 6.4+20240113-1ubuntu2), netbase (= 6.4), patch (= 2.7.6-7build3), perl (= 5.38.2-4), perl-base (= 5.38.2-4), perl-modules-5.38 (= 5.38.2-4), pkg-config (= 1.8.1-2build1), pkgconf (= 1.8.1-2build1), pkgconf-bin (= 1.8.1-2build1), po-debconf (= 1.0.21+nmu1), procps (= 2:4.0.4-4ubuntu3), python3 (= 3.12.3-0ubuntu1), python3-apycula (= 0.12+dfsg1-1), python3-dev (= 3.12.3-0ubuntu1), python3-minimal (= 3.12.3-0ubuntu1), python3-pytrellis (= 1.4-2build4), python3.12 (= 3.12.3-1), python3.12-dev (= 3.12.3-1), python3.12-minimal (= 3.12.3-1), qt5-qmake (= 5.15.13+dfsg-1ubuntu1), qt5-qmake-bin (= 5.15.13+dfsg-1ubuntu1), qtbase5-dev (= 5.15.13+dfsg-1ubuntu1), qtbase5-dev-tools (= 5.15.13+dfsg-1ubuntu1), qtchooser (= 66-2build2), readline-common (= 8.2-4build1), rpcsvc-proto (= 1.4.2-0ubuntu7), sed (= 4.9-2build1), sensible-utils (= 0.0.22), shared-mime-info (= 2.4-4), sysvinit-utils (= 3.08-6ubuntu3), tar (= 1.35+dfsg-3build1), tzdata (= 2024a-4ubuntu1), util-linux (= 2.39.3-9ubuntu6), x11-common (= 1:7.7+23ubuntu3), x11proto-dev (= 2024.1-1), xkb-data (= 2.41-2ubuntu1), xorg-sgml-doctools (= 1:1.11-1.1), xtrans-dev (= 1.4.0-1), xz-utils (= 5.6.1+really5.4.5-1), zlib1g (= 1:1.3.dfsg-3.1ubuntu2), zlib1g-dev (= 1:1.3.dfsg-3.1ubuntu2) Environment: DEB_BUILD_OPTIONS="nocheck parallel=4" DEB_BUILD_PROFILES="noudeb" LANG="C.UTF-8" LC_ALL="C.UTF-8" LC_COLLATE="C.UTF-8" SOURCE_DATE_EPOCH="1714835872" +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ nextpnr-ecp5-chipdb_0.7-1_riscv64.deb ------------------------------------- new Debian package, version 2.0. size 3247588 bytes: control archive=847 bytes. 910 bytes, 20 lines control 382 bytes, 5 lines md5sums Package: nextpnr-ecp5-chipdb Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 102547 Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Lattice ECP5 -- chipdb files nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . This package contains the chipdb FPGA layout description files. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ecp5-chipdb/ -rw-r--r-- root/root 1213 2024-05-04 15:17 ./usr/share/doc/nextpnr-ecp5-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-ecp5-chipdb/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/nextpnr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/nextpnr/ecp5/ -rw-r--r-- root/root 32635894 2024-05-04 15:17 ./usr/share/nextpnr/ecp5/chipdb-25k.bin -rw-r--r-- root/root 35387160 2024-05-04 15:17 ./usr/share/nextpnr/ecp5/chipdb-45k.bin -rw-r--r-- root/root 36958153 2024-05-04 15:17 ./usr/share/nextpnr/ecp5/chipdb-85k.bin nextpnr-ecp5-qt_0.7-1_riscv64.deb --------------------------------- new Debian package, version 2.0. size 1866776 bytes: control archive=1027 bytes. 1527 bytes, 27 lines control 200 bytes, 3 lines md5sums Package: nextpnr-ecp5-qt Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 4942 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libqt5core5t64 (>= 5.15.1), libqt5gui5t64 (>= 5.1.0), libqt5gui5t64 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5widgets5t64 (>= 5.14.1), libstdc++6 (>= 13.1), nextpnr-ecp5-chipdb (= 0.7-1) Suggests: yosys Conflicts: nextpnr-ecp5 Replaces: nextpnr-ecp5 Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Lattice ECP5 - with GUI nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-ecp5 supports the Lattice ECP5 series of FPGAs. . This package supports both the GUI and command-line interfaces. A slimmer command-line only version is available in the nextpnr-ecp5 package. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 5030048 2024-05-04 15:17 ./usr/bin/nextpnr-ecp5 drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ecp5-qt/ lrwxrwxrwx root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ecp5-qt/changelog.Debian.gz -> ../nextpnr-ecp5-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-ecp5-qt/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1930 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-ecp5.1.gz nextpnr-ecp5_0.7-1_riscv64.deb ------------------------------ new Debian package, version 2.0. size 1152008 bytes: control archive=966 bytes. 1350 bytes, 27 lines control 197 bytes, 3 lines md5sums Package: nextpnr-ecp5 Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 2901 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libstdc++6 (>= 13.1), nextpnr-ecp5-chipdb (= 0.7-1) Suggests: yosys Conflicts: nextpnr-ecp5-qt Replaces: nextpnr-ecp5-qt Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Lattice ECP5 nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-ecp5 supports the Lattice ECP5 series of FPGAs. . This package supports only the command-line interface, there is also a GUI version in the nextpnr-ecp5-qt package. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 2940624 2024-05-04 15:17 ./usr/bin/nextpnr-ecp5 drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ecp5/ lrwxrwxrwx root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ecp5/changelog.Debian.gz -> ../nextpnr-ecp5-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-ecp5/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1930 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-ecp5.1.gz nextpnr-generic_0.7-1_riscv64.deb --------------------------------- new Debian package, version 2.0. size 761918 bytes: control archive=1252 bytes. 1139 bytes, 21 lines control 1228 bytes, 15 lines md5sums Package: nextpnr-generic Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 1831 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libstdc++6 (>= 13.1) Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Generic FPGAs nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-generic supports nextpnr's synthetic "generic" FPGA. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 1829424 2024-05-04 15:17 ./usr/bin/nextpnr-generic drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-generic/ -rw-r--r-- root/root 1213 2024-05-04 15:17 ./usr/share/doc/nextpnr-generic/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-generic/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-generic/examples/ -rw-r--r-- root/root 635 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/README.md -rw-r--r-- root/root 0 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/__init__.py -rw-r--r-- root/root 711 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/bitstream.py -rw-r--r-- root/root 173 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/blinky.v -rw-r--r-- root/root 581 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/blinky_tb.v -rw-r--r-- root/root 3691 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/simple.py -rwxr-xr-x root/root 369 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/simple.sh -rw-r--r-- root/root 266 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/simple_config.py -rw-r--r-- root/root 632 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/simple_timing.py -rwxr-xr-x root/root 428 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/simtest.sh -rw-r--r-- root/root 1847 2024-01-23 13:00 ./usr/share/doc/nextpnr-generic/examples/write_fasm.py drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1710 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-generic.1.gz nextpnr-gowin-chipdb_0.7-1_riscv64.deb -------------------------------------- new Debian package, version 2.0. size 226022 bytes: control archive=986 bytes. 907 bytes, 20 lines control 781 bytes, 10 lines md5sums Package: nextpnr-gowin-chipdb Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 6610 Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Gowin GW1N -- chipdb files nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . This package contains the chipdb FPGA layout description files. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-gowin-chipdb/ -rw-r--r-- root/root 1213 2024-05-04 15:17 ./usr/share/doc/nextpnr-gowin-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-gowin-chipdb/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/nextpnr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/nextpnr/gowin/ -rw-r--r-- root/root 411719 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1N-1.bin -rw-r--r-- root/root 788682 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1N-4.bin -rw-r--r-- root/root 1079730 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1N-9.bin -rw-r--r-- root/root 1098047 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1N-9C.bin -rw-r--r-- root/root 494866 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1NS-2.bin -rw-r--r-- root/root 785090 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1NS-4.bin -rw-r--r-- root/root 432927 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW1NZ-1.bin -rw-r--r-- root/root 1646182 2024-05-04 15:17 ./usr/share/nextpnr/gowin/chipdb-GW2A-18.bin nextpnr-gowin-qt_0.7-1_riscv64.deb ---------------------------------- new Debian package, version 2.0. size 1707170 bytes: control archive=1029 bytes. 1529 bytes, 27 lines control 203 bytes, 3 lines md5sums Package: nextpnr-gowin-qt Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 4502 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libqt5core5t64 (>= 5.15.1), libqt5gui5t64 (>= 5.1.0), libqt5gui5t64 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5widgets5t64 (>= 5.14.1), libstdc++6 (>= 13.1), nextpnr-gowin-chipdb (= 0.7-1) Suggests: yosys Conflicts: nextpnr-gowin Replaces: nextpnr-gowin Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Gowin GW1N - with GUI nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-gowin supports the Gowin GW1N series of FPGAs. . This package supports both the GUI and command-line interfaces. A slimmer command-line only version is available in the nextpnr-gowin package. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 4579360 2024-05-04 15:17 ./usr/bin/nextpnr-gowin drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-gowin-qt/ lrwxrwxrwx root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-gowin-qt/changelog.Debian.gz -> ../nextpnr-gowin-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-gowin-qt/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1765 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-gowin.1.gz nextpnr-gowin_0.7-1_riscv64.deb ------------------------------- new Debian package, version 2.0. size 755776 bytes: control archive=964 bytes. 1352 bytes, 27 lines control 200 bytes, 3 lines md5sums Package: nextpnr-gowin Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 1785 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libstdc++6 (>= 13.1), nextpnr-gowin-chipdb (= 0.7-1) Suggests: yosys Conflicts: nextpnr-gowin-qt Replaces: nextpnr-gowin-qt Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Gowin GW1N nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-gowin supports the Gowin GW1N series of FPGAs. . This package supports only the command-line interface, there is also a GUI version in the nextpnr-gowin-qt package. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 1797712 2024-05-04 15:17 ./usr/bin/nextpnr-gowin drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-gowin/ lrwxrwxrwx root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-gowin/changelog.Debian.gz -> ../nextpnr-gowin-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-gowin/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1765 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-gowin.1.gz nextpnr-ice40-chipdb_0.7-1_riscv64.deb -------------------------------------- new Debian package, version 2.0. size 47645604 bytes: control archive=907 bytes. 912 bytes, 20 lines control 530 bytes, 7 lines md5sums Package: nextpnr-ice40-chipdb Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 224817 Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Lattice iCE40 -- chipdb files nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . This package contains the chipdb FPGA layout description files. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-chipdb/ -rw-r--r-- root/root 1213 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-ice40-chipdb/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/nextpnr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/nextpnr/ice40/ -rw-r--r-- root/root 17888026 2024-05-04 15:17 ./usr/share/nextpnr/ice40/chipdb-1k.bin -rw-r--r-- root/root 4979044 2024-05-04 15:17 ./usr/share/nextpnr/ice40/chipdb-384.bin -rw-r--r-- root/root 68332696 2024-05-04 15:17 ./usr/share/nextpnr/ice40/chipdb-5k.bin -rw-r--r-- root/root 93005351 2024-05-04 15:17 ./usr/share/nextpnr/ice40/chipdb-8k.bin -rw-r--r-- root/root 45978212 2024-05-04 15:17 ./usr/share/nextpnr/ice40/chipdb-u4k.bin nextpnr-ice40-qt_0.7-1_riscv64.deb ---------------------------------- new Debian package, version 2.0. size 1541458 bytes: control archive=1376 bytes. 1623 bytes, 28 lines control 1142 bytes, 13 lines md5sums Package: nextpnr-ice40-qt Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 3993 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libqt5core5t64 (>= 5.15.1), libqt5gui5t64 (>= 5.1.0), libqt5gui5t64 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5widgets5t64 (>= 5.14.1), libstdc++6 (>= 13.1), nextpnr-ice40-chipdb (= 0.7-1) Suggests: yosys, fpga-icestorm Conflicts: nextpnr-ice40 Replaces: nextpnr-ice40 Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Lattice iCE40 - with GUI nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-ice40 supports the Lattice iCE40 series of FPGAs and uses the hardware description chipdb from the fpga-icestorm package. . This package supports both the GUI and command-line interfaces. A slimmer command-line only version is available in the nextpnr-ice40 package. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 4045824 2024-05-04 15:17 ./usr/bin/nextpnr-ice40 drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-qt/ lrwxrwxrwx root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-qt/changelog.Debian.gz -> ../nextpnr-ice40-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-ice40-qt/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-qt/examples/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/ -rw-r--r-- root/root 90 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/blinky.pcf -rw-r--r-- root/root 290 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/blinky.proj -rwxr-xr-x root/root 271 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/blinky.sh -rw-r--r-- root/root 546 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/blinky.v -rw-r--r-- root/root 69 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/blinky.ys -rw-r--r-- root/root 550 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/blinky/blinky_tb.v drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40-qt/examples/floorplan/ -rw-r--r-- root/root 208 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/floorplan/floorplan.py -rwxr-xr-x root/root 300 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/floorplan/floorplan.sh -rw-r--r-- root/root 484 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/floorplan/floorplan.v -rw-r--r-- root/root 145 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40-qt/examples/floorplan/icebreaker.pcf drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1865 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-ice40.1.gz nextpnr-ice40_0.7-1_riscv64.deb ------------------------------- new Debian package, version 2.0. size 848782 bytes: control archive=1305 bytes. 1446 bytes, 28 lines control 1109 bytes, 13 lines md5sums Package: nextpnr-ice40 Source: nextpnr Version: 0.7-1 Architecture: riscv64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 2009 Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.38), libgcc-s1 (>= 3.4), libpython3.12t64 (>= 3.12.1), libstdc++6 (>= 13.1), nextpnr-ice40-chipdb (= 0.7-1) Suggests: yosys, fpga-icestorm Conflicts: nextpnr-ice40-qt Replaces: nextpnr-ice40-qt Section: electronics Priority: optional Homepage: https://github.com/YosysHQ/nextpnr Description: FPGA place and route tool for Lattice iCE40 nextpnr is a FPGA place and route tool. Its purpose is to turn a topological description of digital hardware produced by an FPGA logic synthesis tool such as yosys into an elaborate map of connections between the hardwired functional units available inside the FPGA's fabric. . In order to verify the fully implemented design for proper operation at high speed timing-analysis of the design is also supported. . nextpnr-ice40 supports the Lattice iCE40 series of FPGAs and uses the hardware description chipdb from the fpga-icestorm package. . This package supports only the command-line interface, there is also a GUI version in the nextpnr-ice40-qt package. drwxr-xr-x root/root 0 2024-05-04 15:17 ./ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/bin/ -rwxr-xr-x root/root 2013744 2024-05-04 15:17 ./usr/bin/nextpnr-ice40 drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40/ lrwxrwxrwx root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40/changelog.Debian.gz -> ../nextpnr-ice40-chipdb/changelog.Debian.gz -rw-r--r-- root/root 16274 2023-10-05 18:38 ./usr/share/doc/nextpnr-ice40/copyright drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40/examples/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40/examples/blinky/ -rw-r--r-- root/root 90 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/blinky/blinky.pcf -rw-r--r-- root/root 290 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/blinky/blinky.proj -rwxr-xr-x root/root 271 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/blinky/blinky.sh -rw-r--r-- root/root 546 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/blinky/blinky.v -rw-r--r-- root/root 69 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/blinky/blinky.ys -rw-r--r-- root/root 550 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/blinky/blinky_tb.v drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/doc/nextpnr-ice40/examples/floorplan/ -rw-r--r-- root/root 208 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/floorplan/floorplan.py -rwxr-xr-x root/root 300 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/floorplan/floorplan.sh -rw-r--r-- root/root 484 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/floorplan/floorplan.v -rw-r--r-- root/root 145 2024-01-23 13:00 ./usr/share/doc/nextpnr-ice40/examples/floorplan/icebreaker.pcf drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-04 15:17 ./usr/share/man/man1/ -rw-r--r-- root/root 1865 2024-05-04 15:17 ./usr/share/man/man1/nextpnr-ice40.1.gz +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: riscv64 Build Type: any Build-Space: 10870064 Build-Time: 27529 Distribution: oracular-proposed Host Architecture: riscv64 Install-Time: 424 Job: nextpnr_0.7-1.dsc Machine Architecture: riscv64 Package: nextpnr Package-Time: 27978 Source-Version: 0.7-1 Space: 10870064 Status: successful Version: 0.7-1 -------------------------------------------------------------------------------- Finished at 2024-05-06T08:04:53Z Build needed 07:46:18, 10870064k disk space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=oracular --arch=riscv64 PACKAGEBUILD-28419948 Scanning for processes to kill in build PACKAGEBUILD-28419948