RUN: /usr/share/launchpad-buildd/slavebin/slave-prep ['slave-prep'] Forking launchpad-buildd slave process... Kernel version: Linux lcy01-34 4.4.0-45-generic #66-Ubuntu SMP Wed Oct 19 14:12:37 UTC 2016 x86_64 Buildd toolchain package versions: launchpad-buildd_140 python-lpbuildd_140 sbuild_0.67.0-2ubuntu7 bzr-builder_0.7.3+bzr174~ppa13~ubuntu14.10.1 bzr_2.7.0-2ubuntu3 git-build-recipe_0.3.2 git_1:2.7.4-0ubuntu1 dpkg-dev_1.18.4ubuntu1.1 python-debian_0.1.27ubuntu2 qemu-user-static_1:2.5+dfsg-5ubuntu10.5. Syncing the system clock with the buildd NTP service... 6 Nov 23:21:52 ntpdate[1728]: adjust time server 10.211.37.1 offset -0.004358 sec RUN: /usr/share/launchpad-buildd/slavebin/unpack-chroot ['unpack-chroot', 'PACKAGEBUILD-11154673', '/home/buildd/filecache-default/5ce6418ad8acb4cb980a16cd30f1736d3291c7a9'] Unpacking chroot for build PACKAGEBUILD-11154673 RUN: /usr/share/launchpad-buildd/slavebin/mount-chroot ['mount-chroot', 'PACKAGEBUILD-11154673'] Mounting chroot for build PACKAGEBUILD-11154673 RUN: /usr/share/launchpad-buildd/slavebin/override-sources-list ['override-sources-list', 'PACKAGEBUILD-11154673', 'deb http://ftpmaster.internal/ubuntu zesty main universe', 'deb http://ftpmaster.internal/ubuntu zesty-security main universe', 'deb http://ftpmaster.internal/ubuntu zesty-updates main universe', 'deb http://ftpmaster.internal/ubuntu zesty-proposed main universe'] Overriding sources.list in build-PACKAGEBUILD-11154673 RUN: /usr/share/launchpad-buildd/slavebin/update-debian-chroot ['update-debian-chroot', 'PACKAGEBUILD-11154673', 'i386'] Updating debian chroot for build PACKAGEBUILD-11154673 Get:1 http://ftpmaster.internal/ubuntu zesty InRelease [247 kB] Get:2 http://ftpmaster.internal/ubuntu zesty-security InRelease [92.2 kB] Get:3 http://ftpmaster.internal/ubuntu zesty-updates InRelease [92.1 kB] Get:4 http://ftpmaster.internal/ubuntu zesty-proposed InRelease [95.6 kB] Get:5 http://ftpmaster.internal/ubuntu zesty/main i386 Packages [1233 kB] Get:6 http://ftpmaster.internal/ubuntu zesty/main Translation-en [587 kB] Get:7 http://ftpmaster.internal/ubuntu zesty/universe i386 Packages [7838 kB] Get:8 http://ftpmaster.internal/ubuntu zesty/universe Translation-en [4562 kB] Get:9 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 Packages [124 kB] Get:10 http://ftpmaster.internal/ubuntu zesty-proposed/main Translation-en [64.2 kB] Get:11 http://ftpmaster.internal/ubuntu zesty-proposed/universe i386 Packages [366 kB] Get:12 http://ftpmaster.internal/ubuntu zesty-proposed/universe Translation-en [203 kB] Fetched 15.5 MB in 4s (3392 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: libperl5.22 perl-modules-5.22 Use 'sudo apt autoremove' to remove them. The following NEW packages will be installed: libperl5.24 perl-modules-5.24 The following packages will be upgraded: adduser base-files bash binutils cpp-6 diffutils dmsetup g++-6 gcc-6 gcc-6-base grep libapparmor1 libasan3 libatomic1 libcc1-0 libcilkrts5 libcurl3-gnutls libdevmapper1.02.1 libgcc-6-dev libgcc1 libgomp1 libgssapi-krb5-2 libhogweed4 libitm1 libk5crypto3 libkrb5-3 libkrb5support0 libksba8 libldap-2.4-2 liblzma5 libmpx2 libnettle6 libpng16-16 libquadmath0 libsasl2-2 libsasl2-modules-db libselinux1 libsemanage-common libsemanage1 libsepol1 libslang2 libsqlite3-0 libstdc++-6-dev libstdc++6 libsystemd0 libubsan0 libudev1 linux-libc-dev perl perl-base pinentry-curses systemd systemd-sysv tar tzdata ubuntu-keyring xz-utils 57 upgraded, 2 newly installed, 0 to remove and 0 not upgraded. Need to get 45.3 MB of archives. After this operation, 34.4 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu zesty/main i386 base-files i386 9.6ubuntu6 [55.8 kB] Get:2 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 bash i386 4.4-1ubuntu1 [621 kB] Get:3 http://ftpmaster.internal/ubuntu zesty/main i386 diffutils i386 1:3.5-1 [167 kB] Get:4 http://ftpmaster.internal/ubuntu zesty/main i386 grep i386 2.26-1 [158 kB] Get:5 http://ftpmaster.internal/ubuntu zesty/main i386 perl-modules-5.24 all 5.24.1~rc3-3 [2661 kB] Get:6 http://ftpmaster.internal/ubuntu zesty/main i386 libperl5.24 i386 5.24.1~rc3-3 [3094 kB] Get:7 http://ftpmaster.internal/ubuntu zesty/main i386 perl i386 5.24.1~rc3-3 [237 kB] Get:8 http://ftpmaster.internal/ubuntu zesty/main i386 perl-base i386 5.24.1~rc3-3 [1409 kB] Get:9 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 tar i386 1.29b-1.1 [236 kB] Get:10 http://ftpmaster.internal/ubuntu zesty/main i386 libapparmor1 i386 2.10.95-4ubuntu5.2 [31.6 kB] Get:11 http://ftpmaster.internal/ubuntu zesty/main i386 liblzma5 i386 5.2.2-1.2 [98.7 kB] Get:12 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libselinux1 i386 2.6-1 [73.4 kB] Get:13 http://ftpmaster.internal/ubuntu zesty/main i386 adduser all 3.113+nmu3ubuntu5 [161 kB] Get:14 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 systemd i386 232-2 [2403 kB] Get:15 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libsystemd0 i386 232-2 [228 kB] Get:16 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 systemd-sysv i386 232-2 [13.3 kB] Get:17 http://ftpmaster.internal/ubuntu zesty/main i386 libquadmath0 i386 6.2.0-11ubuntu1 [205 kB] Get:18 http://ftpmaster.internal/ubuntu zesty/main i386 libitm1 i386 6.2.0-11ubuntu1 [31.2 kB] Get:19 http://ftpmaster.internal/ubuntu zesty/main i386 libcc1-0 i386 6.2.0-11ubuntu1 [33.0 kB] Get:20 http://ftpmaster.internal/ubuntu zesty/main i386 libgomp1 i386 6.2.0-11ubuntu1 [79.9 kB] Get:21 http://ftpmaster.internal/ubuntu zesty/main i386 libatomic1 i386 6.2.0-11ubuntu1 [9890 B] Get:22 http://ftpmaster.internal/ubuntu zesty/main i386 libasan3 i386 6.2.0-11ubuntu1 [300 kB] Get:23 http://ftpmaster.internal/ubuntu zesty/main i386 libubsan0 i386 6.2.0-11ubuntu1 [120 kB] Get:24 http://ftpmaster.internal/ubuntu zesty/main i386 libcilkrts5 i386 6.2.0-11ubuntu1 [45.8 kB] Get:25 http://ftpmaster.internal/ubuntu zesty/main i386 libmpx2 i386 6.2.0-11ubuntu1 [12.8 kB] Get:26 http://ftpmaster.internal/ubuntu zesty/main i386 gcc-6-base i386 6.2.0-11ubuntu1 [17.4 kB] Get:27 http://ftpmaster.internal/ubuntu zesty/main i386 libstdc++6 i386 6.2.0-11ubuntu1 [434 kB] Get:28 http://ftpmaster.internal/ubuntu zesty/main i386 g++-6 i386 6.2.0-11ubuntu1 [7620 kB] Get:29 http://ftpmaster.internal/ubuntu zesty/main i386 libstdc++-6-dev i386 6.2.0-11ubuntu1 [1445 kB] Get:30 http://ftpmaster.internal/ubuntu zesty/main i386 libgcc-6-dev i386 6.2.0-11ubuntu1 [2306 kB] Get:31 http://ftpmaster.internal/ubuntu zesty/main i386 gcc-6 i386 6.2.0-11ubuntu1 [7364 kB] Get:32 http://ftpmaster.internal/ubuntu zesty/main i386 cpp-6 i386 6.2.0-11ubuntu1 [6821 kB] Get:33 http://ftpmaster.internal/ubuntu zesty/main i386 libgcc1 i386 1:6.2.0-11ubuntu1 [48.0 kB] Get:34 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 binutils i386 2.27-9ubuntu1 [2504 kB] Get:35 http://ftpmaster.internal/ubuntu zesty/main i386 libsepol1 i386 2.6-1 [240 kB] Get:36 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libsemanage-common all 2.6-1 [6832 B] Get:37 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libsemanage1 i386 2.6-1 [89.9 kB] Get:38 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libudev1 i386 232-2 [59.7 kB] Get:39 http://ftpmaster.internal/ubuntu zesty/main i386 ubuntu-keyring all 2016.10.27 [16.6 kB] Get:40 http://ftpmaster.internal/ubuntu zesty/main i386 tzdata all 2016i-1 [169 kB] Get:41 http://ftpmaster.internal/ubuntu zesty/main i386 libdevmapper1.02.1 i386 2:1.02.133-1ubuntu1 [172 kB] Get:42 http://ftpmaster.internal/ubuntu zesty/main i386 dmsetup i386 2:1.02.133-1ubuntu1 [79.6 kB] Get:43 http://ftpmaster.internal/ubuntu zesty/main i386 libhogweed4 i386 3.3-1 [137 kB] Get:44 http://ftpmaster.internal/ubuntu zesty/main i386 libnettle6 i386 3.3-1 [109 kB] Get:45 http://ftpmaster.internal/ubuntu zesty/main i386 libksba8 i386 1.3.5-2 [99.9 kB] Get:46 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libsasl2-modules-db i386 2.1.27~72-g88d82a3+dfsg-1 [16.0 kB] Get:47 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libsasl2-2 i386 2.1.27~72-g88d82a3+dfsg-1 [52.3 kB] Get:48 http://ftpmaster.internal/ubuntu zesty/main i386 libldap-2.4-2 i386 2.4.42+dfsg-2ubuntu5 [173 kB] Get:49 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libslang2 i386 2.3.1-4ubuntu1 [423 kB] Get:50 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libsqlite3-0 i386 3.15.0-1 [516 kB] Get:51 http://ftpmaster.internal/ubuntu zesty/main i386 pinentry-curses i386 0.9.7-8 [32.7 kB] Get:52 http://ftpmaster.internal/ubuntu zesty/main i386 xz-utils i386 5.2.2-1.2 [86.3 kB] Get:53 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libgssapi-krb5-2 i386 1.15~beta1-1 [129 kB] Get:54 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libkrb5-3 i386 1.15~beta1-1 [299 kB] Get:55 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libkrb5support0 i386 1.15~beta1-1 [33.7 kB] Get:56 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libk5crypto3 i386 1.15~beta1-1 [87.4 kB] Get:57 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libcurl3-gnutls i386 7.50.1-1ubuntu2 [208 kB] Get:58 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libpng16-16 i386 1.6.26-1 [182 kB] Get:59 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 linux-libc-dev i386 4.8.0-27.29 [856 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 45.3 MB in 3s (13.6 MB/s) (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 12310 files and directories currently installed.) Preparing to unpack .../base-files_9.6ubuntu6_i386.deb ... Unpacking base-files (9.6ubuntu6) over (9.6ubuntu5) ... Setting up base-files (9.6ubuntu6) ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 12310 files and directories currently installed.) Preparing to unpack .../bash_4.4-1ubuntu1_i386.deb ... Unpacking bash (4.4-1ubuntu1) over (4.3-15ubuntu1) ... Setting up bash (4.4-1ubuntu1) ... Installing new version of config file /etc/skel/.profile ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 12310 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.5-1_i386.deb ... Unpacking diffutils (1:3.5-1) over (1:3.3-3) ... Setting up diffutils (1:3.5-1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 12310 files and directories currently installed.) Preparing to unpack .../archives/grep_2.26-1_i386.deb ... Unpacking grep (2.26-1) over (2.25-6) ... Setting up grep (2.26-1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 12310 files and directories currently installed.) Preparing to unpack .../0-perl_5.24.1~rc3-3_i386.deb ... Unpacking perl (5.24.1~rc3-3) over (5.22.2-3) ... Selecting previously unselected package perl-modules-5.24. Preparing to unpack .../1-perl-modules-5.24_5.24.1~rc3-3_all.deb ... Unpacking perl-modules-5.24 (5.24.1~rc3-3) ... Selecting previously unselected package libperl5.24:i386. Preparing to unpack .../2-libperl5.24_5.24.1~rc3-3_i386.deb ... Unpacking libperl5.24:i386 (5.24.1~rc3-3) ... Preparing to unpack .../3-perl-base_5.24.1~rc3-3_i386.deb ... Unpacking perl-base (5.24.1~rc3-3) over (5.22.2-3) ... Setting up perl-base (5.24.1~rc3-3) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14103 files and directories currently installed.) Preparing to unpack .../tar_1.29b-1.1_i386.deb ... Unpacking tar (1.29b-1.1) over (1.29b-1) ... Setting up tar (1.29b-1.1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14103 files and directories currently installed.) Preparing to unpack .../0-libapparmor1_2.10.95-4ubuntu5.2_i386.deb ... Unpacking libapparmor1:i386 (2.10.95-4ubuntu5.2) over (2.10.95-4ubuntu5) ... Preparing to unpack .../1-liblzma5_5.2.2-1.2_i386.deb ... Unpacking liblzma5:i386 (5.2.2-1.2) over (5.1.1alpha+20120614-2.1ubuntu1) ... Setting up liblzma5:i386 (5.2.2-1.2) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14103 files and directories currently installed.) Preparing to unpack .../libselinux1_2.6-1_i386.deb ... Unpacking libselinux1:i386 (2.6-1) over (2.5-3) ... Setting up libselinux1:i386 (2.6-1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14103 files and directories currently installed.) Preparing to unpack .../adduser_3.113+nmu3ubuntu5_all.deb ... Unpacking adduser (3.113+nmu3ubuntu5) over (3.113+nmu3ubuntu4) ... Setting up adduser (3.113+nmu3ubuntu5) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14103 files and directories currently installed.) Preparing to unpack .../0-systemd_232-2_i386.deb ... Unpacking systemd (232-2) over (231-9git1) ... Preparing to unpack .../1-libsystemd0_232-2_i386.deb ... Unpacking libsystemd0:i386 (232-2) over (231-9git1) ... Setting up libsystemd0:i386 (232-2) ... Setting up libapparmor1:i386 (2.10.95-4ubuntu5.2) ... Setting up systemd (232-2) ... Installing new version of config file /etc/dbus-1/system.d/org.freedesktop.systemd1.conf ... Installing new version of config file /etc/systemd/resolved.conf ... Installing new version of config file /etc/systemd/system.conf ... addgroup: The group `systemd-journal' already exists as a system group. Exiting. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14100 files and directories currently installed.) Preparing to unpack .../00-systemd-sysv_232-2_i386.deb ... Unpacking systemd-sysv (232-2) over (231-9git1) ... Preparing to unpack .../01-libquadmath0_6.2.0-11ubuntu1_i386.deb ... Unpacking libquadmath0:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../02-libitm1_6.2.0-11ubuntu1_i386.deb ... Unpacking libitm1:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../03-libcc1-0_6.2.0-11ubuntu1_i386.deb ... Unpacking libcc1-0:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../04-libgomp1_6.2.0-11ubuntu1_i386.deb ... Unpacking libgomp1:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../05-libatomic1_6.2.0-11ubuntu1_i386.deb ... Unpacking libatomic1:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../06-libasan3_6.2.0-11ubuntu1_i386.deb ... Unpacking libasan3:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../07-libubsan0_6.2.0-11ubuntu1_i386.deb ... Unpacking libubsan0:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../08-libcilkrts5_6.2.0-11ubuntu1_i386.deb ... Unpacking libcilkrts5:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../09-libmpx2_6.2.0-11ubuntu1_i386.deb ... Unpacking libmpx2:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../10-gcc-6-base_6.2.0-11ubuntu1_i386.deb ... Unpacking gcc-6-base:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Setting up gcc-6-base:i386 (6.2.0-11ubuntu1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14100 files and directories currently installed.) Preparing to unpack .../libstdc++6_6.2.0-11ubuntu1_i386.deb ... Unpacking libstdc++6:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Setting up libstdc++6:i386 (6.2.0-11ubuntu1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14100 files and directories currently installed.) Preparing to unpack .../0-g++-6_6.2.0-11ubuntu1_i386.deb ... Unpacking g++-6 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../1-libstdc++-6-dev_6.2.0-11ubuntu1_i386.deb ... Unpacking libstdc++-6-dev:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../2-libgcc-6-dev_6.2.0-11ubuntu1_i386.deb ... Unpacking libgcc-6-dev:i386 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../3-gcc-6_6.2.0-11ubuntu1_i386.deb ... Unpacking gcc-6 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../4-cpp-6_6.2.0-11ubuntu1_i386.deb ... Unpacking cpp-6 (6.2.0-11ubuntu1) over (6.2.0-5ubuntu12) ... Preparing to unpack .../5-libgcc1_1%3a6.2.0-11ubuntu1_i386.deb ... Unpacking libgcc1:i386 (1:6.2.0-11ubuntu1) over (1:6.2.0-5ubuntu12) ... Setting up libgcc1:i386 (1:6.2.0-11ubuntu1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14097 files and directories currently installed.) Preparing to unpack .../0-binutils_2.27-9ubuntu1_i386.deb ... Unpacking binutils (2.27-9ubuntu1) over (2.27-8ubuntu2) ... Preparing to unpack .../1-libsepol1_2.6-1_i386.deb ... Unpacking libsepol1:i386 (2.6-1) over (2.5-1) ... Setting up libsepol1:i386 (2.6-1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14097 files and directories currently installed.) Preparing to unpack .../libsemanage-common_2.6-1_all.deb ... Unpacking libsemanage-common (2.6-1) over (2.5-1) ... Setting up libsemanage-common (2.6-1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14097 files and directories currently installed.) Preparing to unpack .../libsemanage1_2.6-1_i386.deb ... Unpacking libsemanage1:i386 (2.6-1) over (2.5-1) ... Setting up libsemanage1:i386 (2.6-1) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14097 files and directories currently installed.) Preparing to unpack .../libudev1_232-2_i386.deb ... Unpacking libudev1:i386 (232-2) over (231-9git1) ... Setting up libudev1:i386 (232-2) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14097 files and directories currently installed.) Preparing to unpack .../ubuntu-keyring_2016.10.27_all.deb ... Unpacking ubuntu-keyring (2016.10.27) over (2016.09.19) ... Setting up ubuntu-keyring (2016.10.27) ... (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14095 files and directories currently installed.) Preparing to unpack .../00-tzdata_2016i-1_all.deb ... Unpacking tzdata (2016i-1) over (2016g-1) ... Preparing to unpack .../01-libdevmapper1.02.1_2%3a1.02.133-1ubuntu1_i386.deb ... Unpacking libdevmapper1.02.1:i386 (2:1.02.133-1ubuntu1) over (2:1.02.130-1ubuntu1) ... Preparing to unpack .../02-dmsetup_2%3a1.02.133-1ubuntu1_i386.deb ... Unpacking dmsetup (2:1.02.133-1ubuntu1) over (2:1.02.130-1ubuntu1) ... Preparing to unpack .../03-libhogweed4_3.3-1_i386.deb ... Unpacking libhogweed4:i386 (3.3-1) over (3.2-1) ... Preparing to unpack .../04-libnettle6_3.3-1_i386.deb ... Unpacking libnettle6:i386 (3.3-1) over (3.2-1) ... Preparing to unpack .../05-libksba8_1.3.5-2_i386.deb ... Unpacking libksba8:i386 (1.3.5-2) over (1.3.4-4) ... Preparing to unpack .../06-libsasl2-modules-db_2.1.27~72-g88d82a3+dfsg-1_i386.deb ... Unpacking libsasl2-modules-db:i386 (2.1.27~72-g88d82a3+dfsg-1) over (2.1.26.dfsg1-15) ... Preparing to unpack .../07-libsasl2-2_2.1.27~72-g88d82a3+dfsg-1_i386.deb ... Unpacking libsasl2-2:i386 (2.1.27~72-g88d82a3+dfsg-1) over (2.1.26.dfsg1-15) ... Preparing to unpack .../08-libldap-2.4-2_2.4.42+dfsg-2ubuntu5_i386.deb ... Unpacking libldap-2.4-2:i386 (2.4.42+dfsg-2ubuntu5) over (2.4.42+dfsg-2ubuntu4) ... Preparing to unpack .../09-libslang2_2.3.1-4ubuntu1_i386.deb ... Unpacking libslang2:i386 (2.3.1-4ubuntu1) over (2.3.0-2.3ubuntu1) ... Preparing to unpack .../10-libsqlite3-0_3.15.0-1_i386.deb ... Unpacking libsqlite3-0:i386 (3.15.0-1) over (3.14.1-1build1) ... Preparing to unpack .../11-pinentry-curses_0.9.7-8_i386.deb ... Unpacking pinentry-curses (0.9.7-8) over (0.9.7-5) ... Preparing to unpack .../12-xz-utils_5.2.2-1.2_i386.deb ... Unpacking xz-utils (5.2.2-1.2) over (5.1.1alpha+20120614-2.1ubuntu1) ... Preparing to unpack .../13-libgssapi-krb5-2_1.15~beta1-1_i386.deb ... Unpacking libgssapi-krb5-2:i386 (1.15~beta1-1) over (1.14.3+dfsg-2) ... Preparing to unpack .../14-libkrb5-3_1.15~beta1-1_i386.deb ... Unpacking libkrb5-3:i386 (1.15~beta1-1) over (1.14.3+dfsg-2) ... Preparing to unpack .../15-libkrb5support0_1.15~beta1-1_i386.deb ... Unpacking libkrb5support0:i386 (1.15~beta1-1) over (1.14.3+dfsg-2) ... Preparing to unpack .../16-libk5crypto3_1.15~beta1-1_i386.deb ... Unpacking libk5crypto3:i386 (1.15~beta1-1) over (1.14.3+dfsg-2) ... Preparing to unpack .../17-libcurl3-gnutls_7.50.1-1ubuntu2_i386.deb ... Unpacking libcurl3-gnutls:i386 (7.50.1-1ubuntu2) over (7.50.1-1ubuntu1) ... Preparing to unpack .../18-libpng16-16_1.6.26-1_i386.deb ... Unpacking libpng16-16:i386 (1.6.26-1) over (1.6.25-1) ... Preparing to unpack .../19-linux-libc-dev_4.8.0-27.29_i386.deb ... Unpacking linux-libc-dev:i386 (4.8.0-27.29) over (4.8.0-22.24) ... Setting up libquadmath0:i386 (6.2.0-11ubuntu1) ... Setting up libgomp1:i386 (6.2.0-11ubuntu1) ... Setting up libatomic1:i386 (6.2.0-11ubuntu1) ... Setting up perl-modules-5.24 (5.24.1~rc3-3) ... Setting up libperl5.24:i386 (5.24.1~rc3-3) ... Setting up libnettle6:i386 (3.3-1) ... Setting up libcc1-0:i386 (6.2.0-11ubuntu1) ... Setting up libasan3:i386 (6.2.0-11ubuntu1) ... Setting up libpng16-16:i386 (1.6.26-1) ... Setting up libcilkrts5:i386 (6.2.0-11ubuntu1) ... Setting up tzdata (2016i-1) ... Current default time zone: 'Etc/UTC' Local time is now: Sun Nov 6 23:22:19 UTC 2016. Universal Time is now: Sun Nov 6 23:22:19 UTC 2016. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up systemd-sysv (232-2) ... Setting up libubsan0:i386 (6.2.0-11ubuntu1) ... Setting up libsasl2-modules-db:i386 (2.1.27~72-g88d82a3+dfsg-1) ... Setting up linux-libc-dev:i386 (4.8.0-27.29) ... Setting up libsasl2-2:i386 (2.1.27~72-g88d82a3+dfsg-1) ... Setting up libksba8:i386 (1.3.5-2) ... Setting up libdevmapper1.02.1:i386 (2:1.02.133-1ubuntu1) ... Setting up libkrb5support0:i386 (1.15~beta1-1) ... Setting up libhogweed4:i386 (3.3-1) ... Setting up perl (5.24.1~rc3-3) ... Installing new version of config file /etc/perl/sitecustomize.pl ... Setting up libmpx2:i386 (6.2.0-11ubuntu1) ... Processing triggers for libc-bin (2.24-3ubuntu1) ... Setting up libldap-2.4-2:i386 (2.4.42+dfsg-2ubuntu5) ... Setting up xz-utils (5.2.2-1.2) ... Setting up libsqlite3-0:i386 (3.15.0-1) ... Setting up dmsetup (2:1.02.133-1ubuntu1) ... Setting up pinentry-curses (0.9.7-8) ... Setting up binutils (2.27-9ubuntu1) ... Setting up cpp-6 (6.2.0-11ubuntu1) ... Setting up libslang2:i386 (2.3.1-4ubuntu1) ... Setting up libitm1:i386 (6.2.0-11ubuntu1) ... Setting up libk5crypto3:i386 (1.15~beta1-1) ... Setting up libgcc-6-dev:i386 (6.2.0-11ubuntu1) ... Setting up libstdc++-6-dev:i386 (6.2.0-11ubuntu1) ... Setting up gcc-6 (6.2.0-11ubuntu1) ... Setting up g++-6 (6.2.0-11ubuntu1) ... Setting up libkrb5-3:i386 (1.15~beta1-1) ... Setting up libgssapi-krb5-2:i386 (1.15~beta1-1) ... Setting up libcurl3-gnutls:i386 (7.50.1-1ubuntu2) ... Processing triggers for libc-bin (2.24-3ubuntu1) ... RUN: /usr/share/launchpad-buildd/slavebin/sbuild-package ['sbuild-package', 'PACKAGEBUILD-11154673', 'i386', 'zesty-proposed', '-c', 'chroot:autobuild', '--arch=i386', '--dist=zesty-proposed', '--purge=never', '--nolog', 'yosys_0.7-2.dsc'] Initiating build PACKAGEBUILD-11154673 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 4.4.0-45-generic #66-Ubuntu SMP Wed Oct 19 14:12:37 UTC 2016 i686 sbuild (Debian sbuild) 0.67.0 (26 Dec 2015) on lcy01-34.buildd +==============================================================================+ | yosys 0.7-2 (i386) 06 Nov 2016 23:22 | +==============================================================================+ Package: yosys Version: 0.7-2 Source Version: 0.7-2 Distribution: zesty-proposed Machine Architecture: amd64 Host Architecture: i386 Build Architecture: i386 I: NOTICE: Log filtering will replace 'build/yosys-zWLHjG/yosys-0.7' with '<>' I: NOTICE: Log filtering will replace 'build/yosys-zWLHjG' with '<>' I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-11154673/chroot-autobuild' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- yosys_0.7-2.dsc exists in .; copying to chroot Check architectures ------------------- Check dependencies ------------------ Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<>/resolver-z5GorB/apt_archive/sbuild-build-depends-core-dummy.deb'. Ign:1 copy:/<>/resolver-z5GorB/apt_archive ./ InRelease Get:2 copy:/<>/resolver-z5GorB/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-z5GorB/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-z5GorB/apt_archive ./ Sources [214 B] Get:5 copy:/<>/resolver-z5GorB/apt_archive ./ Packages [526 B] Fetched 2859 B in 0s (231 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install core build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: libperl5.22 perl-modules-5.22 Use 'sudo apt autoremove' to remove them. The following NEW packages will be installed: sbuild-build-depends-core-dummy 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 768 B of archives. After this operation, 0 B of additional disk space will be used. Get:1 copy:/<>/resolver-z5GorB/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [768 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 768 B in 0s (0 B/s) Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14099 files and directories currently installed.) Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_i386.deb ... Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... Merged Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) Filtered Build-Depends: debhelper (>= 9), tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<>/resolver-cMWvGm/apt_archive/sbuild-build-depends-yosys-dummy.deb'. Ign:1 copy:/<>/resolver-cMWvGm/apt_archive ./ InRelease Get:2 copy:/<>/resolver-cMWvGm/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-cMWvGm/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-cMWvGm/apt_archive ./ Sources [304 B] Get:5 copy:/<>/resolver-cMWvGm/apt_archive ./ Packages [622 B] Fetched 3045 B in 0s (245 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install yosys build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: libperl5.22 perl-modules-5.22 Use 'sudo apt autoremove' to remove them. The following additional packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils debhelper dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libbison-dev libcroco3 libexpat1 libffi-dev libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu57 libmagic1 libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal libpython3.5-stdlib libreadline-dev libsigsegv2 libtcl8.6 libtimedate-perl libtinfo-dev libtool libunistring0 libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-minimal python3.5 python3.5-minimal tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist whois vacation dh-make gawk-doc gettext-doc libasprintf-dev libgettextpo-dev groff gtkwave readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk less www-browser libmail-box-perl python3-doc python3-tk python3-venv python3.5-venv python3.5-doc binfmt-support tcl-doc tcl-tclreadline tcl8.6-doc Recommended packages: curl | wget | lynx-cur libglib2.0-data shared-mime-info xdg-user-dirs libltdl-dev xml-core libmail-sendmail-perl The following NEW packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils debhelper dh-autoreconf dh-python dh-strip-nondeterminism file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libbison-dev libcroco3 libexpat1 libffi-dev libfile-stripnondeterminism-perl libfl-dev libglib2.0-0 libicu57 libmagic1 libmpdec2 libpipeline1 libpython3-stdlib libpython3.5-minimal libpython3.5-stdlib libreadline-dev libsigsegv2 libtcl8.6 libtimedate-perl libtinfo-dev libtool libunistring0 libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-minimal python3.5 python3.5-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev 0 upgraded, 58 newly installed, 0 to remove and 0 not upgraded. Need to get 32.0 MB of archives. After this operation, 124 MB of additional disk space will be used. Get:1 copy:/<>/resolver-cMWvGm/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [868 B] Get:2 http://ftpmaster.internal/ubuntu zesty/main i386 libpython3.5-minimal i386 3.5.2-7 [533 kB] Get:3 http://ftpmaster.internal/ubuntu zesty/main i386 libexpat1 i386 2.2.0-1 [74.9 kB] Get:4 http://ftpmaster.internal/ubuntu zesty/main i386 python3.5-minimal i386 3.5.2-7 [1680 kB] Get:5 http://ftpmaster.internal/ubuntu zesty/main i386 python3-minimal i386 3.5.1-4 [23.5 kB] Get:6 http://ftpmaster.internal/ubuntu zesty/main i386 mime-support all 3.60ubuntu1 [30.1 kB] Get:7 http://ftpmaster.internal/ubuntu zesty/main i386 libmpdec2 i386 2.4.2-1 [80.7 kB] Get:8 http://ftpmaster.internal/ubuntu zesty/main i386 libpython3.5-stdlib i386 3.5.2-7 [2173 kB] Get:9 http://ftpmaster.internal/ubuntu zesty/main i386 python3.5 i386 3.5.2-7 [173 kB] Get:10 http://ftpmaster.internal/ubuntu zesty/main i386 libpython3-stdlib i386 3.5.1-4 [6874 B] Get:11 http://ftpmaster.internal/ubuntu zesty/main i386 dh-python all 2.20160818 [80.0 kB] Get:12 http://ftpmaster.internal/ubuntu zesty/main i386 python3 i386 3.5.1-4 [8710 B] Get:13 http://ftpmaster.internal/ubuntu zesty/main i386 groff-base i386 1.22.3-8 [1150 kB] Get:14 http://ftpmaster.internal/ubuntu zesty/main i386 bsdmainutils i386 9.0.6ubuntu3 [175 kB] Get:15 http://ftpmaster.internal/ubuntu zesty/main i386 libpipeline1 i386 1.4.1-2 [26.5 kB] Get:16 http://ftpmaster.internal/ubuntu zesty/main i386 man-db i386 2.7.5-1 [865 kB] Get:17 http://ftpmaster.internal/ubuntu zesty/main i386 libsigsegv2 i386 2.10-5 [14.3 kB] Get:18 http://ftpmaster.internal/ubuntu zesty/main i386 m4 i386 1.4.17-5 [195 kB] Get:19 http://ftpmaster.internal/ubuntu zesty/main i386 libfl-dev i386 2.6.1-1 [13.5 kB] Get:20 http://ftpmaster.internal/ubuntu zesty/main i386 flex i386 2.6.1-1 [284 kB] Get:21 http://ftpmaster.internal/ubuntu zesty/main i386 gawk i386 1:4.1.3+dfsg-0.1build1 [407 kB] Get:22 http://ftpmaster.internal/ubuntu zesty/main i386 libunistring0 i386 0.9.3-5.2ubuntu1 [277 kB] Get:23 http://ftpmaster.internal/ubuntu zesty/main i386 libmagic1 i386 1:5.28-2ubuntu1 [240 kB] Get:24 http://ftpmaster.internal/ubuntu zesty/main i386 file i386 1:5.28-2ubuntu1 [21.7 kB] Get:25 http://ftpmaster.internal/ubuntu zesty/main i386 gettext-base i386 0.19.8.1-1ubuntu2 [49.0 kB] Get:26 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libglib2.0-0 i386 2.50.1-1 [1213 kB] Get:27 http://ftpmaster.internal/ubuntu zesty/main i386 libicu57 i386 57.1-4 [7816 kB] Get:28 http://ftpmaster.internal/ubuntu zesty-proposed/main i386 libxml2 i386 2.9.4+dfsg1-2.1 [733 kB] Get:29 http://ftpmaster.internal/ubuntu zesty/main i386 autoconf all 2.69-10 [321 kB] Get:30 http://ftpmaster.internal/ubuntu zesty/main i386 autotools-dev all 20160430.1 [39.6 kB] Get:31 http://ftpmaster.internal/ubuntu zesty/main i386 automake all 1:1.15-4ubuntu1 [510 kB] Get:32 http://ftpmaster.internal/ubuntu zesty/main i386 autopoint all 0.19.8.1-1ubuntu2 [412 kB] Get:33 http://ftpmaster.internal/ubuntu zesty/universe i386 berkeley-abc i386 1.01+20161002hgeb6eca6+dfsg-1 [4931 kB] Get:34 http://ftpmaster.internal/ubuntu zesty/main i386 libbison-dev i386 2:3.0.4.dfsg-1 [338 kB] Get:35 http://ftpmaster.internal/ubuntu zesty/main i386 bison i386 2:3.0.4.dfsg-1 [256 kB] Get:36 http://ftpmaster.internal/ubuntu zesty/main i386 libtool all 2.4.6-2 [194 kB] Get:37 http://ftpmaster.internal/ubuntu zesty/main i386 dh-autoreconf all 12 [15.8 kB] Get:38 http://ftpmaster.internal/ubuntu zesty/main i386 libarchive-zip-perl all 1.59-1 [84.0 kB] Get:39 http://ftpmaster.internal/ubuntu zesty/main i386 libfile-stripnondeterminism-perl all 0.028-1 [12.5 kB] Get:40 http://ftpmaster.internal/ubuntu zesty/main i386 libtimedate-perl all 2.3000-2 [37.5 kB] Get:41 http://ftpmaster.internal/ubuntu zesty/main i386 dh-strip-nondeterminism all 0.028-1 [4820 B] Get:42 http://ftpmaster.internal/ubuntu zesty/main i386 libcroco3 i386 0.6.11-2 [87.1 kB] Get:43 http://ftpmaster.internal/ubuntu zesty/main i386 gettext i386 0.19.8.1-1ubuntu2 [1086 kB] Get:44 http://ftpmaster.internal/ubuntu zesty/main i386 intltool-debian all 0.35.0+20060710.4 [24.9 kB] Get:45 http://ftpmaster.internal/ubuntu zesty/main i386 po-debconf all 1.0.20 [232 kB] Get:46 http://ftpmaster.internal/ubuntu zesty/main i386 debhelper all 10.2.2ubuntu1 [742 kB] Get:47 http://ftpmaster.internal/ubuntu zesty/universe i386 iverilog i386 10.1-0.1build1 [1783 kB] Get:48 http://ftpmaster.internal/ubuntu zesty/main i386 libtinfo-dev i386 6.0+20160625-1ubuntu1 [76.2 kB] Get:49 http://ftpmaster.internal/ubuntu zesty/main i386 libreadline-dev i386 7.0-0ubuntu2 [132 kB] Get:50 http://ftpmaster.internal/ubuntu zesty/main i386 libtcl8.6 i386 8.6.6+dfsg-1 [935 kB] Get:51 http://ftpmaster.internal/ubuntu zesty/main i386 pkg-config i386 0.29.1-0ubuntu1 [45.8 kB] Get:52 http://ftpmaster.internal/ubuntu zesty/main i386 tcl8.6 i386 8.6.6+dfsg-1 [14.3 kB] Get:53 http://ftpmaster.internal/ubuntu zesty/main i386 tcl i386 8.6.0+9 [5154 B] Get:54 http://ftpmaster.internal/ubuntu zesty/main i386 zlib1g-dev i386 1:1.2.8.dfsg-2ubuntu5 [167 kB] Get:55 http://ftpmaster.internal/ubuntu zesty/main i386 tcl8.6-dev i386 8.6.6+dfsg-1 [964 kB] Get:56 http://ftpmaster.internal/ubuntu zesty/main i386 tcl-dev i386 8.6.0+9 [5658 B] Get:57 http://ftpmaster.internal/ubuntu zesty/universe i386 txt2man all 1.6.0-1 [30.0 kB] Get:58 http://ftpmaster.internal/ubuntu zesty/main i386 libffi-dev i386 3.2.1-6 [163 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 32.0 MB in 1s (23.3 MB/s) Selecting previously unselected package libpython3.5-minimal:i386. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 14099 files and directories currently installed.) Preparing to unpack .../0-libpython3.5-minimal_3.5.2-7_i386.deb ... Unpacking libpython3.5-minimal:i386 (3.5.2-7) ... Selecting previously unselected package libexpat1:i386. Preparing to unpack .../1-libexpat1_2.2.0-1_i386.deb ... Unpacking libexpat1:i386 (2.2.0-1) ... Selecting previously unselected package python3.5-minimal. Preparing to unpack .../2-python3.5-minimal_3.5.2-7_i386.deb ... Unpacking python3.5-minimal (3.5.2-7) ... Selecting previously unselected package python3-minimal. Preparing to unpack .../3-python3-minimal_3.5.1-4_i386.deb ... Unpacking python3-minimal (3.5.1-4) ... Selecting previously unselected package mime-support. Preparing to unpack .../4-mime-support_3.60ubuntu1_all.deb ... Unpacking mime-support (3.60ubuntu1) ... Selecting previously unselected package libmpdec2:i386. Preparing to unpack .../5-libmpdec2_2.4.2-1_i386.deb ... Unpacking libmpdec2:i386 (2.4.2-1) ... Selecting previously unselected package libpython3.5-stdlib:i386. Preparing to unpack .../6-libpython3.5-stdlib_3.5.2-7_i386.deb ... Unpacking libpython3.5-stdlib:i386 (3.5.2-7) ... Selecting previously unselected package python3.5. Preparing to unpack .../7-python3.5_3.5.2-7_i386.deb ... Unpacking python3.5 (3.5.2-7) ... Selecting previously unselected package libpython3-stdlib:i386. Preparing to unpack .../8-libpython3-stdlib_3.5.1-4_i386.deb ... Unpacking libpython3-stdlib:i386 (3.5.1-4) ... Selecting previously unselected package dh-python. Preparing to unpack .../9-dh-python_2.20160818_all.deb ... Unpacking dh-python (2.20160818) ... Setting up libpython3.5-minimal:i386 (3.5.2-7) ... Setting up libexpat1:i386 (2.2.0-1) ... Setting up python3.5-minimal (3.5.2-7) ... Setting up python3-minimal (3.5.1-4) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 15050 files and directories currently installed.) Preparing to unpack .../0-python3_3.5.1-4_i386.deb ... Unpacking python3 (3.5.1-4) ... Selecting previously unselected package groff-base. Preparing to unpack .../1-groff-base_1.22.3-8_i386.deb ... Unpacking groff-base (1.22.3-8) ... Selecting previously unselected package bsdmainutils. Preparing to unpack .../2-bsdmainutils_9.0.6ubuntu3_i386.deb ... Unpacking bsdmainutils (9.0.6ubuntu3) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../3-libpipeline1_1.4.1-2_i386.deb ... Unpacking libpipeline1:i386 (1.4.1-2) ... Selecting previously unselected package man-db. Preparing to unpack .../4-man-db_2.7.5-1_i386.deb ... Unpacking man-db (2.7.5-1) ... Selecting previously unselected package libsigsegv2:i386. Preparing to unpack .../5-libsigsegv2_2.10-5_i386.deb ... Unpacking libsigsegv2:i386 (2.10-5) ... Selecting previously unselected package m4. Preparing to unpack .../6-m4_1.4.17-5_i386.deb ... Unpacking m4 (1.4.17-5) ... Selecting previously unselected package libfl-dev:i386. Preparing to unpack .../7-libfl-dev_2.6.1-1_i386.deb ... Unpacking libfl-dev:i386 (2.6.1-1) ... Selecting previously unselected package flex. Preparing to unpack .../8-flex_2.6.1-1_i386.deb ... Unpacking flex (2.6.1-1) ... Setting up libsigsegv2:i386 (2.10-5) ... Selecting previously unselected package gawk. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 15712 files and directories currently installed.) Preparing to unpack .../00-gawk_1%3a4.1.3+dfsg-0.1build1_i386.deb ... Unpacking gawk (1:4.1.3+dfsg-0.1build1) ... Selecting previously unselected package libunistring0:i386. Preparing to unpack .../01-libunistring0_0.9.3-5.2ubuntu1_i386.deb ... Unpacking libunistring0:i386 (0.9.3-5.2ubuntu1) ... Selecting previously unselected package libmagic1:i386. Preparing to unpack .../02-libmagic1_1%3a5.28-2ubuntu1_i386.deb ... Unpacking libmagic1:i386 (1:5.28-2ubuntu1) ... Selecting previously unselected package file. Preparing to unpack .../03-file_1%3a5.28-2ubuntu1_i386.deb ... Unpacking file (1:5.28-2ubuntu1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../04-gettext-base_0.19.8.1-1ubuntu2_i386.deb ... Unpacking gettext-base (0.19.8.1-1ubuntu2) ... Selecting previously unselected package libglib2.0-0:i386. Preparing to unpack .../05-libglib2.0-0_2.50.1-1_i386.deb ... Unpacking libglib2.0-0:i386 (2.50.1-1) ... Selecting previously unselected package libicu57:i386. Preparing to unpack .../06-libicu57_57.1-4_i386.deb ... Unpacking libicu57:i386 (57.1-4) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../07-libxml2_2.9.4+dfsg1-2.1_i386.deb ... Unpacking libxml2:i386 (2.9.4+dfsg1-2.1) ... Selecting previously unselected package autoconf. Preparing to unpack .../08-autoconf_2.69-10_all.deb ... Unpacking autoconf (2.69-10) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../09-autotools-dev_20160430.1_all.deb ... Unpacking autotools-dev (20160430.1) ... Selecting previously unselected package automake. Preparing to unpack .../10-automake_1%3a1.15-4ubuntu1_all.deb ... Unpacking automake (1:1.15-4ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../11-autopoint_0.19.8.1-1ubuntu2_all.deb ... Unpacking autopoint (0.19.8.1-1ubuntu2) ... Selecting previously unselected package berkeley-abc. Preparing to unpack .../12-berkeley-abc_1.01+20161002hgeb6eca6+dfsg-1_i386.deb ... Unpacking berkeley-abc (1.01+20161002hgeb6eca6+dfsg-1) ... Selecting previously unselected package libbison-dev:i386. Preparing to unpack .../13-libbison-dev_2%3a3.0.4.dfsg-1_i386.deb ... Unpacking libbison-dev:i386 (2:3.0.4.dfsg-1) ... Selecting previously unselected package bison. Preparing to unpack .../14-bison_2%3a3.0.4.dfsg-1_i386.deb ... Unpacking bison (2:3.0.4.dfsg-1) ... Selecting previously unselected package libtool. Preparing to unpack .../15-libtool_2.4.6-2_all.deb ... Unpacking libtool (2.4.6-2) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../16-dh-autoreconf_12_all.deb ... Unpacking dh-autoreconf (12) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../17-libarchive-zip-perl_1.59-1_all.deb ... Unpacking libarchive-zip-perl (1.59-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../18-libfile-stripnondeterminism-perl_0.028-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (0.028-1) ... Selecting previously unselected package libtimedate-perl. Preparing to unpack .../19-libtimedate-perl_2.3000-2_all.deb ... Unpacking libtimedate-perl (2.3000-2) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../20-dh-strip-nondeterminism_0.028-1_all.deb ... Unpacking dh-strip-nondeterminism (0.028-1) ... Selecting previously unselected package libcroco3:i386. Preparing to unpack .../21-libcroco3_0.6.11-2_i386.deb ... Unpacking libcroco3:i386 (0.6.11-2) ... Selecting previously unselected package gettext. Preparing to unpack .../22-gettext_0.19.8.1-1ubuntu2_i386.deb ... Unpacking gettext (0.19.8.1-1ubuntu2) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../23-intltool-debian_0.35.0+20060710.4_all.deb ... Unpacking intltool-debian (0.35.0+20060710.4) ... Selecting previously unselected package po-debconf. Preparing to unpack .../24-po-debconf_1.0.20_all.deb ... Unpacking po-debconf (1.0.20) ... Selecting previously unselected package debhelper. Preparing to unpack .../25-debhelper_10.2.2ubuntu1_all.deb ... Unpacking debhelper (10.2.2ubuntu1) ... Selecting previously unselected package iverilog. Preparing to unpack .../26-iverilog_10.1-0.1build1_i386.deb ... Unpacking iverilog (10.1-0.1build1) ... Selecting previously unselected package libtinfo-dev:i386. Preparing to unpack .../27-libtinfo-dev_6.0+20160625-1ubuntu1_i386.deb ... Unpacking libtinfo-dev:i386 (6.0+20160625-1ubuntu1) ... Selecting previously unselected package libreadline-dev:i386. Preparing to unpack .../28-libreadline-dev_7.0-0ubuntu2_i386.deb ... Unpacking libreadline-dev:i386 (7.0-0ubuntu2) ... Selecting previously unselected package libtcl8.6:i386. Preparing to unpack .../29-libtcl8.6_8.6.6+dfsg-1_i386.deb ... Unpacking libtcl8.6:i386 (8.6.6+dfsg-1) ... Selecting previously unselected package pkg-config. Preparing to unpack .../30-pkg-config_0.29.1-0ubuntu1_i386.deb ... Unpacking pkg-config (0.29.1-0ubuntu1) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../31-tcl8.6_8.6.6+dfsg-1_i386.deb ... Unpacking tcl8.6 (8.6.6+dfsg-1) ... Selecting previously unselected package tcl. Preparing to unpack .../32-tcl_8.6.0+9_i386.deb ... Unpacking tcl (8.6.0+9) ... Selecting previously unselected package zlib1g-dev:i386. Preparing to unpack .../33-zlib1g-dev_1%3a1.2.8.dfsg-2ubuntu5_i386.deb ... Unpacking zlib1g-dev:i386 (1:1.2.8.dfsg-2ubuntu5) ... Selecting previously unselected package tcl8.6-dev:i386. Preparing to unpack .../34-tcl8.6-dev_8.6.6+dfsg-1_i386.deb ... Unpacking tcl8.6-dev:i386 (8.6.6+dfsg-1) ... Selecting previously unselected package tcl-dev:i386. Preparing to unpack .../35-tcl-dev_8.6.0+9_i386.deb ... Unpacking tcl-dev:i386 (8.6.0+9) ... Selecting previously unselected package txt2man. Preparing to unpack .../36-txt2man_1.6.0-1_all.deb ... Unpacking txt2man (1.6.0-1) ... Selecting previously unselected package libffi-dev:i386. Preparing to unpack .../37-libffi-dev_3.2.1-6_i386.deb ... Unpacking libffi-dev:i386 (3.2.1-6) ... Selecting previously unselected package sbuild-build-depends-yosys-dummy. Preparing to unpack .../38-sbuild-build-depends-yosys-dummy_0.invalid.0_i386.deb ... Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ... Setting up berkeley-abc (1.01+20161002hgeb6eca6+dfsg-1) ... Setting up libarchive-zip-perl (1.59-1) ... Setting up mime-support (3.60ubuntu1) ... Setting up libtimedate-perl (2.3000-2) ... Setting up groff-base (1.22.3-8) ... Setting up libglib2.0-0:i386 (2.50.1-1) ... No schema files found: doing nothing. Setting up libtinfo-dev:i386 (6.0+20160625-1ubuntu1) ... Setting up libffi-dev:i386 (3.2.1-6) ... Setting up gettext-base (0.19.8.1-1ubuntu2) ... Setting up iverilog (10.1-0.1build1) ... Setting up libpipeline1:i386 (1.4.1-2) ... Setting up m4 (1.4.17-5) ... Setting up gawk (1:4.1.3+dfsg-0.1build1) ... Setting up libicu57:i386 (57.1-4) ... Setting up libxml2:i386 (2.9.4+dfsg1-2.1) ... Setting up libfl-dev:i386 (2.6.1-1) ... Setting up libmagic1:i386 (1:5.28-2ubuntu1) ... Setting up libcroco3:i386 (0.6.11-2) ... Setting up pkg-config (0.29.1-0ubuntu1) ... Processing triggers for libc-bin (2.24-3ubuntu1) ... Setting up autotools-dev (20160430.1) ... Setting up libunistring0:i386 (0.9.3-5.2ubuntu1) ... Setting up libreadline-dev:i386 (7.0-0ubuntu2) ... Setting up libbison-dev:i386 (2:3.0.4.dfsg-1) ... Setting up libtcl8.6:i386 (8.6.6+dfsg-1) ... Setting up bison (2:3.0.4.dfsg-1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up bsdmainutils (9.0.6ubuntu3) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode Setting up autopoint (0.19.8.1-1ubuntu2) ... Setting up libmpdec2:i386 (2.4.2-1) ... Setting up zlib1g-dev:i386 (1:1.2.8.dfsg-2ubuntu5) ... Setting up libfile-stripnondeterminism-perl (0.028-1) ... Setting up txt2man (1.6.0-1) ... Setting up gettext (0.19.8.1-1ubuntu2) ... Setting up flex (2.6.1-1) ... Setting up libpython3.5-stdlib:i386 (3.5.2-7) ... Setting up autoconf (2.69-10) ... Setting up file (1:5.28-2ubuntu1) ... Setting up intltool-debian (0.35.0+20060710.4) ... Setting up tcl8.6 (8.6.6+dfsg-1) ... Setting up automake (1:1.15-4ubuntu1) ... update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode Setting up man-db (2.7.5-1) ... Not building database; man-db/auto-update is not 'true'. Setting up tcl8.6-dev:i386 (8.6.6+dfsg-1) ... Setting up libtool (2.4.6-2) ... Setting up python3.5 (3.5.2-7) ... Setting up libpython3-stdlib:i386 (3.5.1-4) ... Setting up po-debconf (1.0.20) ... Setting up tcl (8.6.0+9) ... Setting up tcl-dev:i386 (8.6.0+9) ... Setting up python3 (3.5.1-4) ... Setting up dh-strip-nondeterminism (0.028-1) ... Setting up dh-python (2.20160818) ... Setting up debhelper (10.2.2ubuntu1) ... Setting up dh-autoreconf (12) ... Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ... Processing triggers for libc-bin (2.24-3ubuntu1) ... +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 4.4.0-45-generic amd64 (i686) Toolchain package versions: binutils_2.27-9ubuntu1 dpkg-dev_1.18.10ubuntu1 g++-6_6.2.0-11ubuntu1 gcc-6_6.2.0-11ubuntu1 libc6-dev_2.24-3ubuntu1 libstdc++-6-dev_6.2.0-11ubuntu1 libstdc++6_6.2.0-11ubuntu1 linux-libc-dev_4.8.0-27.29 Package versions: adduser_3.113+nmu3ubuntu5 advancecomp_1.20-1 apt_1.3.1 apt-transport-https_1.3.1 autoconf_2.69-10 automake_1:1.15-4ubuntu1 autopoint_0.19.8.1-1ubuntu2 autotools-dev_20160430.1 base-files_9.6ubuntu6 base-passwd_3.5.40 bash_4.4-1ubuntu1 berkeley-abc_1.01+20161002hgeb6eca6+dfsg-1 binutils_2.27-9ubuntu1 bison_2:3.0.4.dfsg-1 bsdmainutils_9.0.6ubuntu3 bsdutils_1:2.28.2-1ubuntu1 build-essential_12.1ubuntu2 bzip2_1.0.6-8build1 ca-certificates_20160104ubuntu1 coreutils_8.25-2ubuntu2 cpp_4:6.1.1-1ubuntu2 cpp-6_6.2.0-11ubuntu1 dash_0.5.8-2.3ubuntu1 debconf_1.5.59ubuntu1 debhelper_10.2.2ubuntu1 debianutils_4.8 dh-autoreconf_12 dh-python_2.20160818 dh-strip-nondeterminism_0.028-1 diffutils_1:3.5-1 dmsetup_2:1.02.133-1ubuntu1 dpkg_1.18.10ubuntu1 dpkg-dev_1.18.10ubuntu1 e2fslibs_1.43.3-1 e2fsprogs_1.43.3-1 fakeroot_1.21-1ubuntu2 file_1:5.28-2ubuntu1 findutils_4.6.0+git+20160703-2 flex_2.6.1-1 g++_4:6.1.1-1ubuntu2 g++-6_6.2.0-11ubuntu1 gawk_1:4.1.3+dfsg-0.1build1 gcc_4:6.1.1-1ubuntu2 gcc-6_6.2.0-11ubuntu1 gcc-6-base_6.2.0-11ubuntu1 gettext_0.19.8.1-1ubuntu2 gettext-base_0.19.8.1-1ubuntu2 gnupg_2.1.15-1ubuntu6 gnupg-agent_2.1.15-1ubuntu6 gpgv_2.1.15-1ubuntu6 grep_2.26-1 groff-base_1.22.3-8 gzip_1.6-4ubuntu1 hostname_3.18 init_1.45 init-system-helpers_1.45 initscripts_2.88dsf-59.3ubuntu2 insserv_1.14.0-5ubuntu3 intltool-debian_0.35.0+20060710.4 iverilog_10.1-0.1build1 libacl1_2.2.52-3 libapparmor1_2.10.95-4ubuntu5.2 libapt-pkg5.0_1.3.1 libarchive-zip-perl_1.59-1 libasan3_6.2.0-11ubuntu1 libasn1-8-heimdal_1.7~git20150920+dfsg-4ubuntu1 libassuan0_2.4.3-1 libatomic1_6.2.0-11ubuntu1 libattr1_1:2.4.47-2 libaudit-common_1:2.6.6-1ubuntu1 libaudit1_1:2.6.6-1ubuntu1 libbison-dev_2:3.0.4.dfsg-1 libblkid1_2.28.2-1ubuntu1 libbz2-1.0_1.0.6-8build1 libc-bin_2.24-3ubuntu1 libc-dev-bin_2.24-3ubuntu1 libc6_2.24-3ubuntu1 libc6-dev_2.24-3ubuntu1 libcap-ng0_0.7.7-3 libcap2_1:2.25-1 libcc1-0_6.2.0-11ubuntu1 libcilkrts5_6.2.0-11ubuntu1 libcomerr2_1.43.3-1 libcroco3_0.6.11-2 libcryptsetup4_2:1.7.2-0ubuntu1 libcurl3-gnutls_7.50.1-1ubuntu2 libdb5.3_5.3.28-12 libdebconfclient0_0.213ubuntu1 libdevmapper1.02.1_2:1.02.133-1ubuntu1 libdpkg-perl_1.18.10ubuntu1 libexpat1_2.2.0-1 libfakeroot_1.21-1ubuntu2 libfdisk1_2.28.2-1ubuntu1 libffi-dev_3.2.1-6 libffi6_3.2.1-6 libfile-stripnondeterminism-perl_0.028-1 libfl-dev_2.6.1-1 libgcc-6-dev_6.2.0-11ubuntu1 libgcc1_1:6.2.0-11ubuntu1 libgcrypt20_1.7.2-2ubuntu1 libgdbm3_1.8.3-14 libglib2.0-0_2.50.1-1 libgmp10_2:6.1.1+dfsg-1 libgnutls30_3.5.3-5ubuntu1 libgomp1_6.2.0-11ubuntu1 libgpg-error0_1.24-1 libgssapi-krb5-2_1.15~beta1-1 libgssapi3-heimdal_1.7~git20150920+dfsg-4ubuntu1 libhcrypto4-heimdal_1.7~git20150920+dfsg-4ubuntu1 libheimbase1-heimdal_1.7~git20150920+dfsg-4ubuntu1 libheimntlm0-heimdal_1.7~git20150920+dfsg-4ubuntu1 libhogweed4_3.3-1 libhx509-5-heimdal_1.7~git20150920+dfsg-4ubuntu1 libicu57_57.1-4 libidn11_1.33-1 libip4tc0_1.6.0-3ubuntu2 libisl15_0.17.1-1 libitm1_6.2.0-11ubuntu1 libk5crypto3_1.15~beta1-1 libkeyutils1_1.5.9-9ubuntu1 libkmod2_22-1.1ubuntu1 libkrb5-26-heimdal_1.7~git20150920+dfsg-4ubuntu1 libkrb5-3_1.15~beta1-1 libkrb5support0_1.15~beta1-1 libksba8_1.3.5-2 libldap-2.4-2_2.4.42+dfsg-2ubuntu5 liblockfile-bin_1.09-6ubuntu1 liblockfile1_1.09-6ubuntu1 liblz4-1_0.0~r131-2ubuntu2 liblzma5_5.2.2-1.2 libmagic1_1:5.28-2ubuntu1 libmount1_2.28.2-1ubuntu1 libmpc3_1.0.3-1 libmpdec2_2.4.2-1 libmpfr4_3.1.5-1 libmpx2_6.2.0-11ubuntu1 libncurses5_6.0+20160625-1ubuntu1 libncursesw5_6.0+20160625-1ubuntu1 libnettle6_3.3-1 libnpth0_1.2-3 libp11-kit0_0.23.2-5 libpam-modules_1.1.8-3.2ubuntu2 libpam-modules-bin_1.1.8-3.2ubuntu2 libpam-runtime_1.1.8-3.2ubuntu2 libpam0g_1.1.8-3.2ubuntu2 libpcre3_2:8.39-2 libperl5.22_5.22.2-3 libperl5.24_5.24.1~rc3-3 libpipeline1_1.4.1-2 libpng16-16_1.6.26-1 libprocps6_2:3.3.12-1ubuntu2 libpython3-stdlib_3.5.1-4 libpython3.5-minimal_3.5.2-7 libpython3.5-stdlib_3.5.2-7 libquadmath0_6.2.0-11ubuntu1 libreadline-dev_7.0-0ubuntu2 libreadline7_7.0-0ubuntu2 libroken18-heimdal_1.7~git20150920+dfsg-4ubuntu1 librtmp1_2.4+20151223.gitfa8646d.1-1 libsasl2-2_2.1.27~72-g88d82a3+dfsg-1 libsasl2-modules-db_2.1.27~72-g88d82a3+dfsg-1 libseccomp2_2.3.1-2ubuntu2 libselinux1_2.6-1 libsemanage-common_2.6-1 libsemanage1_2.6-1 libsepol1_2.6-1 libsigsegv2_2.10-5 libslang2_2.3.1-4ubuntu1 libsmartcols1_2.28.2-1ubuntu1 libsqlite3-0_3.15.0-1 libss2_1.43.3-1 libssl1.0.0_1.0.2g-1ubuntu9 libstdc++-6-dev_6.2.0-11ubuntu1 libstdc++6_6.2.0-11ubuntu1 libsystemd0_232-2 libtasn1-6_4.9-4 libtcl8.6_8.6.6+dfsg-1 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20160625-1ubuntu1 libtinfo5_6.0+20160625-1ubuntu1 libtool_2.4.6-2 libubsan0_6.2.0-11ubuntu1 libudev1_232-2 libunistring0_0.9.3-5.2ubuntu1 libusb-0.1-4_2:0.1.12-30 libustr-1.0-1_1.0.4-5 libuuid1_2.28.2-1ubuntu1 libwind0-heimdal_1.7~git20150920+dfsg-4ubuntu1 libxml2_2.9.4+dfsg1-2.1 linux-libc-dev_4.8.0-27.29 lockfile-progs_0.1.17 login_1:4.2-3.2ubuntu1 lsb-base_9.20160110ubuntu5 m4_1.4.17-5 make_4.1-9 man-db_2.7.5-1 mawk_1.3.3-17ubuntu2 mime-support_3.60ubuntu1 mount_2.28.2-1ubuntu1 multiarch-support_2.24-3ubuntu1 ncurses-base_6.0+20160625-1ubuntu1 ncurses-bin_6.0+20160625-1ubuntu1 openssl_1.0.2g-1ubuntu9 optipng_0.7.6-1build1 passwd_1:4.2-3.2ubuntu1 patch_2.7.5-1 perl_5.24.1~rc3-3 perl-base_5.24.1~rc3-3 perl-modules-5.22_5.22.2-3 perl-modules-5.24_5.24.1~rc3-3 pinentry-curses_0.9.7-8 pkg-config_0.29.1-0ubuntu1 pkg-create-dbgsym_0.72 pkgbinarymangler_131 po-debconf_1.0.20 policyrcd-script-zg2_0.1-3 procps_2:3.3.12-1ubuntu2 python3_3.5.1-4 python3-minimal_3.5.1-4 python3.5_3.5.2-7 python3.5-minimal_3.5.2-7 readline-common_7.0-0ubuntu2 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.2.2-8 sensible-utils_0.0.9 systemd_232-2 systemd-sysv_232-2 sysv-rc_2.88dsf-59.3ubuntu2 sysvinit-utils_2.88dsf-59.8git1 tar_1.29b-1.1 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.6+dfsg-1 tcl8.6-dev_8.6.6+dfsg-1 txt2man_1.6.0-1 tzdata_2016i-1 ubuntu-keyring_2016.10.27 util-linux_2.28.2-1ubuntu1 xz-utils_5.2.2-1.2 zlib1g_1:1.2.8.dfsg-2ubuntu5 zlib1g-dev_1:1.2.8.dfsg-2ubuntu5 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- gpgv: Signature made Sun Nov 6 14:42:53 2016 UTC gpgv: using RSA key gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./yosys_0.7-2.dsc dpkg-source: info: extracting yosys in yosys-0.7 dpkg-source: info: unpacking yosys_0.7.orig.tar.gz dpkg-source: info: unpacking yosys_0.7-2.debian.tar.xz dpkg-source: info: applying 01_gitrevision.patch dpkg-source: info: applying 02_removeabc.patch dpkg-source: info: applying 05_abc_executable.patch dpkg-source: info: applying switch-to-free-font.patch dpkg-source: info: applying manual-build.patch dpkg-source: info: applying kfreebsd-support.patch Check disc space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/home/buildd LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd MAIL=/var/mail/buildd OLDPWD=/ PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games PWD=/<> SHELL=/bin/sh SUDO_COMMAND=/usr/sbin/chroot /<> su buildd -s /bin/sh -c cd '/<>' && 'env' SUDO_GID=2501 SUDO_UID=2001 SUDO_USER=buildd TERM=unknown USER=buildd USERNAME=root dpkg-buildpackage ----------------- dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.7-2 dpkg-buildpackage: info: source distribution unstable dpkg-source --before-build yosys-0.7 dpkg-buildpackage: info: host architecture i386 fakeroot debian/rules clean PREFIX=/usr dh clean --parallel --with=python3 dh_testdir -O--parallel debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' dh_auto_clean make -j4 clean make[2]: Entering directory '/<>' /bin/sh: 1: clang: not found rm -rf share if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f rm -f kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/gowin/synth_gowin.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/common/synth.o techlibs/common/prep.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d make[2]: Leaving directory '/<>' rm -f debian/man/*.1 make[1]: Leaving directory '/<>' dh_clean -O--parallel debian/rules build-arch PREFIX=/usr dh build-arch --parallel --with=python3 dh_testdir -a -O--parallel dh_update_autotools_config -a -O--parallel debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' make config-gcc make[2]: Entering directory '/<>' /bin/sh: 1: clang: not found rm -rf share if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f rm -f kernel/version_61f6811.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/hierarchy/hierarchy.o passes/hierarchy/singleton.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/gowin/synth_gowin.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_counters.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/common/synth.o techlibs/common/prep.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d echo 'CONFIG := gcc' > Makefile.conf make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/<>' dh_auto_build --parallel -- all make -j4 all make[2]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc [ 0%] Building kernel/version_61f6811.cc [ 1%] Building kernel/driver.o [ 1%] Building techlibs/common/simlib_help.inc [ 1%] Building techlibs/common/simcells_help.inc [ 2%] Building kernel/rtlil.o [ 2%] Building kernel/log.o [ 3%] Building kernel/calc.o kernel/log.cc: In function ‘void Yosys::log_backtrace(const char*, int)’: kernel/log.cc:300:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:309:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:318:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:327:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:336:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:345:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:354:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/log.cc:363:40: warning: calling ‘void* __builtin_return_address(unsigned int)’ with a nonzero argument is unsafe [-Wframe-address] if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) { ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 3%] Building kernel/yosys.o [ 4%] Building kernel/cellaigs.o [ 4%] Building kernel/celledges.o [ 5%] Building libs/bigint/BigIntegerAlgorithms.o [ 5%] Building libs/bigint/BigInteger.o [ 6%] Building libs/bigint/BigIntegerUtils.o [ 6%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 8%] Building libs/sha1/sha1.o [ 8%] Building libs/subcircuit/subcircuit.o [ 9%] Building libs/ezsat/ezsat.o [ 9%] Building libs/ezsat/ezminisat.o [ 10%] Building libs/minisat/Options.o [ 10%] Building libs/minisat/SimpSolver.o [ 11%] Building libs/minisat/Solver.o [ 11%] Building libs/minisat/System.o [ 12%] Building frontends/vhdl2verilog/vhdl2verilog.o [ 12%] Building frontends/ilang/ilang_parser.tab.cc [ 12%] Building frontends/ilang/ilang_lexer.cc [ 13%] Building frontends/ilang/ilang_frontend.o [ 14%] Building frontends/verific/verific.o [ 14%] Building frontends/verilog/verilog_parser.tab.cc [ 14%] Building frontends/verilog/verilog_lexer.cc [ 16%] Building frontends/verilog/preproc.o [ 16%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 17%] Building frontends/ast/ast.o [ 18%] Building frontends/ast/simplify.o [ 18%] Building frontends/ast/genrtlil.o [ 19%] Building frontends/ast/dpicall.o [ 19%] Building frontends/blif/blifparse.o [ 20%] Building frontends/liberty/liberty.o [ 20%] Building passes/tests/test_autotb.o [ 21%] Building passes/tests/test_cell.o [ 21%] Building passes/tests/test_abcloop.o [ 22%] Building passes/sat/sat.o [ 23%] Building passes/sat/freduce.o [ 23%] Building passes/sat/eval.o [ 24%] Building passes/sat/miter.o [ 24%] Building passes/sat/expose.o [ 25%] Building passes/sat/assertpmux.o [ 25%] Building passes/sat/clk2fflogic.o [ 26%] Building passes/opt/opt.o [ 26%] Building passes/opt/opt_merge.o [ 27%] Building passes/opt/opt_muxtree.o [ 27%] Building passes/opt/opt_reduce.o [ 28%] Building passes/opt/opt_rmdff.o [ 28%] Building passes/opt/opt_clean.o [ 29%] Building passes/opt/opt_expr.o [ 30%] Building passes/opt/share.o [ 30%] Building passes/opt/wreduce.o [ 31%] Building passes/memory/memory.o [ 31%] Building passes/memory/memory_dff.o [ 32%] Building passes/memory/memory_share.o [ 32%] Building passes/memory/memory_collect.o [ 33%] Building passes/memory/memory_unpack.o [ 33%] Building passes/memory/memory_bram.o [ 34%] Building passes/memory/memory_map.o [ 34%] Building passes/memory/memory_memx.o [ 34%] Building passes/techmap/techmap.inc [ 35%] Building passes/techmap/simplemap.o [ 36%] Building passes/techmap/dfflibmap.o [ 36%] Building passes/techmap/maccmap.o [ 37%] Building passes/techmap/libparse.o [ 38%] Building passes/techmap/abc.o [ 38%] Building passes/techmap/iopadmap.o [ 39%] Building passes/techmap/hilomap.o [ 39%] Building passes/techmap/extract.o [ 40%] Building passes/techmap/alumacc.o [ 40%] Building passes/techmap/dff2dffe.o [ 41%] Building passes/techmap/dffinit.o [ 41%] Building passes/techmap/pmuxtree.o [ 42%] Building passes/techmap/muxcover.o [ 42%] Building passes/techmap/aigmap.o [ 43%] Building passes/techmap/tribuf.o [ 43%] Building passes/techmap/lut2mux.o [ 44%] Building passes/techmap/nlutmap.o [ 45%] Building passes/techmap/dffsr2dff.o [ 45%] Building passes/techmap/shregmap.o [ 46%] Building passes/techmap/deminout.o [ 46%] Building passes/techmap/insbuf.o [ 47%] Building passes/techmap/attrmvcp.o [ 47%] Building passes/techmap/attrmap.o [ 48%] Building passes/techmap/zinit.o [ 48%] Building passes/cmds/add.o [ 49%] Building passes/cmds/delete.o [ 49%] Building passes/cmds/design.o [ 50%] Building passes/cmds/select.o [ 50%] Building passes/cmds/show.o [ 51%] Building passes/cmds/rename.o [ 51%] Building passes/cmds/connect.o [ 52%] Building passes/cmds/scatter.o [ 53%] Building passes/cmds/setundef.o [ 53%] Building passes/cmds/splitnets.o [ 54%] Building passes/cmds/stat.o [ 54%] Building passes/cmds/setattr.o [ 55%] Building passes/cmds/copy.o [ 55%] Building passes/cmds/splice.o [ 56%] Building passes/cmds/scc.o [ 56%] Building passes/cmds/torder.o [ 57%] Building passes/cmds/logcmd.o [ 57%] Building passes/cmds/tee.o [ 58%] Building passes/cmds/write_file.o [ 58%] Building passes/cmds/connwrappers.o [ 59%] Building passes/cmds/cover.o [ 60%] Building passes/cmds/trace.o [ 60%] Building passes/cmds/plugin.o [ 61%] Building passes/cmds/check.o [ 61%] Building passes/cmds/qwp.o [ 62%] Building passes/cmds/edgetypes.o [ 62%] Building passes/hierarchy/hierarchy.o [ 63%] Building passes/hierarchy/singleton.o [ 63%] Building passes/hierarchy/submod.o [ 64%] Building passes/equiv/equiv_make.o [ 64%] Building passes/equiv/equiv_miter.o [ 65%] Building passes/equiv/equiv_simple.o [ 65%] Building passes/equiv/equiv_status.o [ 66%] Building passes/equiv/equiv_add.o [ 66%] Building passes/equiv/equiv_remove.o [ 67%] Building passes/equiv/equiv_induct.o [ 68%] Building passes/equiv/equiv_struct.o [ 68%] Building passes/equiv/equiv_purge.o [ 69%] Building passes/equiv/equiv_mark.o [ 69%] Building passes/fsm/fsm.o [ 70%] Building passes/fsm/fsm_detect.o [ 70%] Building passes/fsm/fsm_extract.o [ 71%] Building passes/fsm/fsm_opt.o [ 71%] Building passes/fsm/fsm_expand.o [ 72%] Building passes/fsm/fsm_recode.o [ 72%] Building passes/fsm/fsm_info.o [ 73%] Building passes/fsm/fsm_export.o [ 73%] Building passes/fsm/fsm_map.o [ 74%] Building passes/proc/proc.o [ 75%] Building passes/proc/proc_clean.o [ 75%] Building passes/proc/proc_rmdead.o [ 76%] Building passes/proc/proc_init.o [ 76%] Building passes/proc/proc_arst.o [ 77%] Building passes/proc/proc_mux.o [ 77%] Building passes/proc/proc_dlatch.o [ 78%] Building passes/proc/proc_dff.o [ 78%] Building backends/edif/edif.o [ 79%] Building backends/smt2/smt2.o [ 79%] Building backends/btor/btor.o [ 80%] Building backends/intersynth/intersynth.o [ 80%] Building backends/ilang/ilang_backend.o [ 81%] Building backends/json/json.o [ 81%] Building backends/verilog/verilog_backend.o [ 82%] Building backends/smv/smv.o [ 83%] Building backends/blif/blif.o [ 83%] Building backends/spice/spice.o [ 84%] Building techlibs/xilinx/synth_xilinx.o [ 84%] Building techlibs/gowin/synth_gowin.o [ 85%] Building techlibs/ice40/synth_ice40.o [ 85%] Building techlibs/ice40/ice40_ffssr.o [ 86%] Building techlibs/ice40/ice40_ffinit.o [ 86%] Building techlibs/ice40/ice40_opt.o [ 87%] Building techlibs/greenpak4/synth_greenpak4.o [ 87%] Building techlibs/greenpak4/greenpak4_counters.o [ 88%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 88%] Building techlibs/common/synth.o [ 89%] Building techlibs/common/prep.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/backends/ilang/ilang_backend.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/brams.txt [ 99%] Building share/xilinx/brams_map.v [ 99%] Building share/xilinx/brams_bb.v [ 99%] Building share/xilinx/drams.txt [ 99%] Building share/xilinx/drams_map.v [ 99%] Building share/xilinx/drams_bb.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building techlibs/xilinx/brams_init.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building techlibs/ice40/brams_init.mk [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/cells.lib [ 99%] Building kernel/version_61f6811.o [ 99%] Building kernel/register.o [ 99%] Building frontends/ilang/ilang_parser.tab.o [ 99%] Building frontends/ilang/ilang_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o frontends/ilang/ilang_lexer.cc: In function ‘int rtlil_frontend_ilang_yylex()’: frontends/ilang/ilang_lexer.cc:929:35: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] for ( yyl = (yy_more_len); yyl < rtlil_frontend_ilang_yyleng; ++yyl ) ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ frontends/ilang/ilang_lexer.cc: In function ‘int yy_get_next_buffer()’: frontends/ilang/ilang_lexer.cc:1447:23: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] if ( number_to_move == YY_MORE_ADJ ) frontends/ilang/ilang_lexer.cc: In function ‘yy_buffer_state* rtlil_frontend_ilang_yy_scan_bytes(const char*, int)’: frontends/ilang/ilang_lexer.cc:1953:17: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] for ( i = 0; i < _yybytes_len; ++i ) ~~^~~~~~~~~~~~~~ [ 99%] Building frontends/verilog/verilog_lexer.o frontends/verilog/verilog_lexer.cc: In function ‘int frontend_verilog_yylex()’: frontends/verilog/verilog_lexer.cc:1393:35: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] for ( yyl = (yy_more_len); yyl < frontend_verilog_yyleng; ++yyl ) ~~~~^~~~~~~~~~~~~~~~~~~~~~~~~ frontends/verilog/verilog_lexer.cc: In function ‘int yy_get_next_buffer()’: frontends/verilog/verilog_lexer.cc:2412:23: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] if ( number_to_move == YY_MORE_ADJ ) frontends/verilog/verilog_lexer.cc: In function ‘yy_buffer_state* frontend_verilog_yy_scan_bytes(const char*, int)’: frontends/verilog/verilog_lexer.cc:2918:17: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] for ( i = 0; i < _yybytes_len; ++i ) ~~^~~~~~~~~~~~~~ [ 99%] Building passes/techmap/techmap.o [ 99%] Building yosys-filterlib [ 99%] Building share/xilinx/brams_init_36.vh [ 99%] Building share/xilinx/brams_init_32.vh [ 99%] Building share/xilinx/brams_init_18.vh [ 99%] Building share/xilinx/brams_init_16.vh [ 99%] Building share/ice40/brams_init1.vh [ 99%] Building share/ice40/brams_init2.vh [ 99%] Building share/ice40/brams_init3.vh [100%] Building yosys Build successful. make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' dh_auto_test -a -O--parallel make -j4 test make[1]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "" make[2]: Entering directory '/<>/tests/simple' Test: always02 -> ok Test: always01 -> ok Test: always03 -> ok Test: aes_kexp128 -> ok Test: arrays01 -> ok Test: carryadd -> ok Test: arraycells -> ok Test: fiedler-cooley -> ok Test: constpower -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: dff_different_styles -> ok Test: constmuldivmod -> ok Test: fsm -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: generate -> ok Test: i2c_master_tests -> ok Test: macros -> ok Test: loops -> ok Test: mem_arst -> ok Test: multiplier -> ok Test: mem2reg -> ok Test: muxtree -> ok Test: omsp_dbg_uart -> ok Test: paramods -> ok Test: process -> ok Test: realexpr -> ok Test: partsel -> ok Test: repwhile -> ok Test: scopes -> ok Test: signedexpr -> ok Test: sincos -> ok Test: subbytes -> ok Test: operators -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: task_func -> ok Test: values -> ok Test: vloghammer -> ok Test: rotate -> ok Test: wreduce -> ok Test: memory -> ok make[2]: Leaving directory '/<>/tests/simple' cd tests/hana && bash run-test.sh "" make[2]: Entering directory '/<>/tests/hana' Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_buffer -> ok Test: test_simulation_and -> ok Test: test_simulation_always -> ok Test: test_simulation_inc -> ok Test: test_simulation_nand -> ok Test: test_simulation_mux -> ok Test: test_simulation_nor -> ok Test: test_simulation_seq -> ok Test: test_simulation_or -> ok Test: test_simulation_decoder -> ok Test: test_simulation_sop -> ok Test: test_intermout -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_techmap -> ok Test: test_simulation_xor -> ok Test: test_simulation_shifter -> ok Test: test_simulation_techmap_tech -> ok make[2]: Leaving directory '/<>/tests/hana' cd tests/asicworld && bash run-test.sh "" make[2]: Entering directory '/<>/tests/asicworld' Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_misc1 -> ok Test: code_hdl_models_mux21_switch -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_nand_switch -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_t_gate_switch -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_tidbits_asyn_reset -> ok Test: code_hdl_models_uart -> ok Test: code_tidbits_blocking -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_which_clock -> ok Test: code_hdl_models_cam -> ok make[2]: Leaving directory '/<>/tests/asicworld' cd tests/realmath && bash run-test.sh "" generating tests.. running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/share && bash run-test.sh "" generating tests.. running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/fsm && bash run-test.sh "" generating tests.. running tests.. make[2]: Entering directory '/<>/tests/fsm' [0][1][2][3]K[4]K[5]K[6]K[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]T[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]T[73]K[74]K[75]K[76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]T[86]K[87]K[88]K[89]K[90]K[91]T[92]K[93]K[94]K[95]T[96]K[97]K[98]K[99]KKKK make[2]: Leaving directory '/<>/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. cd tests/memories && bash run-test.sh "" Test: amber23_sram_byte_en -> ok Test: implicit_en -> ok Test: no_implicit_en -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "" generating tests.. PRNG seed: 947854 running tests.. make[2]: Entering directory '/<>/tests/bram' Passed memory_bram test 00_02. Passed memory_bram test 00_03. Passed memory_bram test 00_01. Passed memory_bram test 00_04. Passed memory_bram test 01_00. Passed memory_bram test 01_02. Passed memory_bram test 01_03. Passed memory_bram test 01_04. Passed memory_bram test 02_00. Passed memory_bram test 02_03. Passed memory_bram test 02_01. Passed memory_bram test 02_04. Passed memory_bram test 03_00. Passed memory_bram test 03_01. Passed memory_bram test 03_02. Passed memory_bram test 04_00. Passed memory_bram test 03_04. Passed memory_bram test 04_01. Passed memory_bram test 04_02. Passed memory_bram test 04_03. make[2]: Leaving directory '/<>/tests/bram' cd tests/various && bash run-test.sh Running constmsk_test.ys.. Warning: Range select out of bounds on signal `\tmp' at constmsk_testmap.v:45: Setting 1 MSB bits to undef. Running muxcover.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/simcells.v:399) Running submod_extract.ys.. cd tests/sat && bash run-test.sh Running asserts.ys.. Running asserts_seq.ys.. Running counters.ys.. Running expose_dff.ys.. Running initval.ys.. Warning: ignoring initial value on non-register: \bar [1:0] Running share.ys.. Running splice.ys.. Passed "make test". make[1]: Leaving directory '/<>' fakeroot debian/rules binary-arch PREFIX=/usr dh binary-arch --parallel --with=python3 dh_testroot -a -O--parallel dh_prep -a -O--parallel dh_auto_install -a -O--parallel make -j4 install DESTDIR=/<>/debian/tmp AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc mkdir -p /<>/debian/tmp/usr/bin install yosys yosys-config yosys-filterlib yosys-smtbmc /<>/debian/tmp/usr/bin mkdir -p /<>/debian/tmp/usr/share/yosys cp -r share/. /<>/debian/tmp/usr/share/yosys/. make[1]: Leaving directory '/<>' debian/rules override_dh_install make[1]: Entering directory '/<>' dh_install --fail-missing make[1]: Leaving directory '/<>' dh_installdocs -a -O--parallel dh_installchangelogs -a -O--parallel debian/rules override_dh_installman make[1]: Entering directory '/<>' cd debian/man ; CHANGELOG_DATE="06 November 2016" ./genmanpages.sh dh_installman make[1]: Leaving directory '/<>' dh_python3 -a -O--parallel I: dh_python3 tools:100: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc dh_perl -a -O--parallel dh_link -a -O--parallel dh_strip_nondeterminism -a -O--parallel debian/rules override_dh_compress make[1]: Entering directory '/<>' dh_compress --exclude=.pdf make[1]: Leaving directory '/<>' dh_fixperms -a -O--parallel dh_strip -a -O--parallel dh_strip debug symbol extraction: enabling -a due to Build-Debug-Symbols: yes in /CurrentlyBuilding dh_strip debug symbol extraction: all non-arch-all packages for this build platform i386: yosys yosys-dev dh_strip debug symbol extraction: packages to act on: yosys yosys-dev dh_strip debug symbol extraction: ignored packages: Using buildid for compat level >= 9 dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe dpkg-gencontrol: warning: package yosys: unused substitution variable ${python3:Depends} /usr/bin/pkg_create_dbgsym: deferring build of .ddeb to dh_gencontrol Using buildid for compat level >= 9 yosys-dev has no unstripped objects, ignoring find: ‘/<>/debian/yosys-dev-dbgsym’: No such file or directory /usr/bin/pkg_create_dbgsym: nothing in /<>/debian/yosys-dev-dbgsym and no dbgdepends, ignoring dh_makeshlibs -a -O--parallel dh_shlibdeps -a -O--parallel dh_installdeb -a -O--parallel dh_gencontrol -a -O--parallel dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${shlibs:Depends} dpkg-gencontrol: warning: Depends field of package yosys-dev: unknown substitution variable ${python3:Depends} dpkg-gencontrol: warning: File::FcntlLock not available; using flock which is not NFS-safe dh_gencontrol debug symbol wrapper: all non-arch-all packages for this build platform i386: yosys yosys-dev dh_gencontrol debug symbol wrapper: packages to act on: yosys yosys-dev dh_gencontrol debug symbol wrapper: ignored packages: dh_gencontrol debug symbol wrapper: processing package yosys (pkgdir debian/yosys, ddeb package dir debian/yosys-dbgsym) dh_gencontrol debug symbol wrapper: building yosys-dbgsym_0.7-2_i386.ddeb dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.7-2_i386.ddeb'. dh_gencontrol debug symbol wrapper: dpkg-distaddfile yosys-dbgsym_0.7-2_i386.ddeb electronics extra dpkg-distaddfile: warning: File::FcntlLock not available; using flock which is not NFS-safe dh_gencontrol debug symbol wrapper: no debian/yosys-dev-dbgsym, skipping package yosys-dev dh_md5sums -a -O--parallel dh_builddeb -a -O--parallel INFO: pkgstriptranslations version 131 INFO: pkgstriptranslations version 131 pkgstriptranslations: processing yosys (in debian/yosys); do_strip: , oemstrip: pkgstriptranslations: processing yosys-dev (in debian/yosys-dev); do_strip: , oemstrip: pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/yosys/DEBIAN/control, package yosys, directory debian/yosys pkgstripfiles: Truncating usr/share/doc/yosys/changelog.Debian.gz to topmost ten records pkgstripfiles: Running PNG optimization (using 4 cpus) for package yosys ... pkgstripfiles: No PNG files. dpkg-deb: building package 'yosys' in '../yosys_0.7-2_i386.deb'. pkgstripfiles: processing control file: debian/yosys-dev/DEBIAN/control, package yosys-dev, directory debian/yosys-dev pkgstripfiles: Truncating usr/share/doc/yosys-dev/changelog.Debian.gz to topmost ten records pkgstripfiles: Running PNG optimization (using 4 cpus) for package yosys-dev ... pkgstripfiles: No PNG files. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.7-2_i386.deb'. dpkg-genchanges --build=any -mLaunchpad Build Daemon >../yosys_0.7-2_i386.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build yosys-0.7 dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 20161106-2334 Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Post Build Chroot | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ yosys_0.7-2_i386.changes: ------------------------- Format: 1.8 Date: Sun, 06 Nov 2016 14:40:47 +0000 Source: yosys Binary: yosys yosys-dev yosys-doc Architecture: i386 Version: 0.7-2 Distribution: zesty-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Ruben Undheim Description: yosys - Framework for Verilog RTL synthesis yosys-dev - Framework for Verilog RTL synthesis (development files) yosys-doc - Documentation for Yosys Changes: yosys (0.7-2) unstable; urgency=medium . * debian/control: - Moved berkeley-abc from Build-Depends-Indep to Build-Depends in order to fix dependency problem during test. Checksums-Sha1: 1ea0ed52fd43cba52714e5dec2610ca56a807d70 22103688 yosys-dbgsym_0.7-2_i386.ddeb b32286a79e70670bf285dc6e9150d17819fbfbd6 47252 yosys-dev_0.7-2_i386.deb 14d5bfbf13132dc9cce2a3dee0903d664bf87bb3 1779630 yosys_0.7-2_i386.deb Checksums-Sha256: cc399539e7f9973bd64055c48104bc39fd0ce1d43563c23c7a091e9ee18ffb73 22103688 yosys-dbgsym_0.7-2_i386.ddeb b995cfb1b20f72d96f47858727fbf5adc56ee6adb5f9c7817fe3090688f2dc93 47252 yosys-dev_0.7-2_i386.deb 776036bf2bc3fecdac75dd632bbbf0b657a8864841a6a464be645a63835aa3fe 1779630 yosys_0.7-2_i386.deb Files: a06ec8bd16f7a975c4d512e5920b94f9 22103688 electronics extra yosys-dbgsym_0.7-2_i386.ddeb 318ff71cf1fce812010c2a98b5875573 47252 electronics optional yosys-dev_0.7-2_i386.deb 875c84e6edd7bdaa799e7260beed8438 1779630 electronics optional yosys_0.7-2_i386.deb +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ yosys-dev_0.7-2_i386.deb ------------------------ new debian package, version 2.0. size 47252 bytes: control archive=1391 bytes. 872 bytes, 20 lines control 1721 bytes, 23 lines md5sums Package: yosys-dev Source: yosys Version: 0.7-2 Architecture: i386 Maintainer: Ubuntu Developers Original-Maintainer: Debian Science Maintainers Installed-Size: 291 Section: electronics Priority: optional Homepage: http://www.clifford.at/yosys Description: Framework for Verilog RTL synthesis (development files) Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . This package contains the headers and programs needed to build yosys plugins. drwxr-xr-x root/root 0 2016-11-06 14:40 ./ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/bin/ -rwxr-xr-x root/root 3514 2016-11-06 14:40 ./usr/bin/yosys-config drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/doc/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/doc/yosys-dev/ -rw-r--r-- root/root 1649 2016-11-06 14:40 ./usr/share/doc/yosys-dev/changelog.Debian.gz -rw-r--r-- root/root 5875 2016-11-06 09:29 ./usr/share/doc/yosys-dev/copyright drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/man/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/man/man1/ -rw-r--r-- root/root 898 2016-11-06 14:40 ./usr/share/man/man1/yosys-config.1.gz drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/backends/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/backends/ilang/ -rw-r--r-- root/root 2445 2016-11-06 14:40 ./usr/share/yosys/include/backends/ilang/ilang_backend.h drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/frontends/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/frontends/ast/ -rw-r--r-- root/root 10442 2016-11-06 14:40 ./usr/share/yosys/include/frontends/ast/ast.h drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/kernel/ -rw-r--r-- root/root 2218 2016-11-06 14:40 ./usr/share/yosys/include/kernel/celledges.h -rw-r--r-- root/root 13910 2016-11-06 14:40 ./usr/share/yosys/include/kernel/celltypes.h -rw-r--r-- root/root 9807 2016-11-06 14:40 ./usr/share/yosys/include/kernel/consteval.h -rw-r--r-- root/root 24513 2016-11-06 14:40 ./usr/share/yosys/include/kernel/hashlib.h -rw-r--r-- root/root 9868 2016-11-06 14:40 ./usr/share/yosys/include/kernel/log.h -rw-r--r-- root/root 7011 2016-11-06 14:40 ./usr/share/yosys/include/kernel/macc.h -rw-r--r-- root/root 14512 2016-11-06 14:40 ./usr/share/yosys/include/kernel/modtools.h -rw-r--r-- root/root 5144 2016-11-06 14:40 ./usr/share/yosys/include/kernel/register.h -rw-r--r-- root/root 54192 2016-11-06 14:40 ./usr/share/yosys/include/kernel/rtlil.h -rw-r--r-- root/root 52891 2016-11-06 14:40 ./usr/share/yosys/include/kernel/satgen.h -rw-r--r-- root/root 6625 2016-11-06 14:40 ./usr/share/yosys/include/kernel/sigtools.h -rw-r--r-- root/root 5030 2016-11-06 14:40 ./usr/share/yosys/include/kernel/utils.h -rw-r--r-- root/root 9298 2016-11-06 14:40 ./usr/share/yosys/include/kernel/yosys.h drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/libs/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/libs/ezsat/ -rw-r--r-- root/root 2094 2016-11-06 14:40 ./usr/share/yosys/include/libs/ezsat/ezminisat.h -rw-r--r-- root/root 14521 2016-11-06 14:40 ./usr/share/yosys/include/libs/ezsat/ezsat.h drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/libs/sha1/ -rw-r--r-- root/root 1370 2016-11-06 14:40 ./usr/share/yosys/include/libs/sha1/sha1.h drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/passes/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/include/passes/fsm/ -rw-r--r-- root/root 6737 2016-11-06 14:40 ./usr/share/yosys/include/passes/fsm/fsmdata.h yosys_0.7-2_i386.deb -------------------- new debian package, version 2.0. size 1779630 bytes: control archive=2378 bytes. 963 bytes, 18 lines control 3091 bytes, 46 lines md5sums 173 bytes, 9 lines * postinst #!/bin/sh 385 bytes, 12 lines * prerm #!/bin/sh Package: yosys Version: 0.7-2 Architecture: i386 Maintainer: Ubuntu Developers Original-Maintainer: Debian Science Maintainers Installed-Size: 6279 Depends: libc6 (>= 2.11), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), python3:any (>= 3.3.2-2~), berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), xdot Section: electronics Priority: optional Homepage: http://www.clifford.at/yosys Description: Framework for Verilog RTL synthesis This is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. drwxr-xr-x root/root 0 2016-11-06 14:40 ./ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/bin/ -rwxr-xr-x root/root 5601480 2016-11-06 14:40 ./usr/bin/yosys lrwxrwxrwx root/root 0 2016-11-06 14:40 ./usr/bin/yosys-abc -> berkeley-abc -rwxr-xr-x root/root 30424 2016-11-06 14:40 ./usr/bin/yosys-filterlib -rwxr-xr-x root/root 25013 2016-11-06 14:40 ./usr/bin/yosys-smtbmc drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/doc/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/doc/yosys/ -rw-r--r-- root/root 6214 2016-11-03 08:08 ./usr/share/doc/yosys/README.gz -rw-r--r-- root/root 1649 2016-11-06 14:40 ./usr/share/doc/yosys/changelog.Debian.gz -rw-r--r-- root/root 5875 2016-11-06 09:29 ./usr/share/doc/yosys/copyright drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/man/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/man/man1/ -rw-r--r-- root/root 560 2016-11-06 14:40 ./usr/share/man/man1/yosys-abc.1.gz -rw-r--r-- root/root 963 2016-11-06 14:40 ./usr/share/man/man1/yosys-filterlib.1.gz -rw-r--r-- root/root 654 2016-11-06 14:40 ./usr/share/man/man1/yosys-smtbmc.1.gz -rw-r--r-- root/root 1674 2016-11-06 14:40 ./usr/share/man/man1/yosys.1.gz drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/python3/ drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/python3/runtime.d/ -rwxr-xr-x root/root 125 2016-11-06 14:40 ./usr/share/python3/runtime.d/yosys.rtupdate drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/ -rw-r--r-- root/root 520 2016-11-06 14:40 ./usr/share/yosys/adff2dff.v -rw-r--r-- root/root 2432 2016-11-06 14:40 ./usr/share/yosys/cells.lib drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/gowin/ -rw-r--r-- root/root 889 2016-11-06 14:40 ./usr/share/yosys/gowin/cells_map.v -rw-r--r-- root/root 1143 2016-11-06 14:40 ./usr/share/yosys/gowin/cells_sim.v drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/greenpak4/ -rw-r--r-- root/root 1805 2016-11-06 14:40 ./usr/share/yosys/greenpak4/cells_map.v -rw-r--r-- root/root 9210 2016-11-06 14:40 ./usr/share/yosys/greenpak4/cells_sim.v -rw-r--r-- root/root 998 2016-11-06 14:40 ./usr/share/yosys/greenpak4/gp_dff.lib drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/ice40/ -rw-r--r-- root/root 2046 2016-11-06 14:40 ./usr/share/yosys/ice40/arith_map.v -rw-r--r-- root/root 534 2016-11-06 14:40 ./usr/share/yosys/ice40/brams.txt -rw-r--r-- root/root 50688 2016-11-06 14:40 ./usr/share/yosys/ice40/brams_init1.vh -rw-r--r-- root/root 50688 2016-11-06 14:40 ./usr/share/yosys/ice40/brams_init2.vh -rw-r--r-- root/root 50688 2016-11-06 14:40 ./usr/share/yosys/ice40/brams_init3.vh -rw-r--r-- root/root 7980 2016-11-06 14:40 ./usr/share/yosys/ice40/brams_map.v -rw-r--r-- root/root 3377 2016-11-06 14:40 ./usr/share/yosys/ice40/cells_map.v -rw-r--r-- root/root 26434 2016-11-06 14:40 ./usr/share/yosys/ice40/cells_sim.v -rw-r--r-- root/root 258 2016-11-06 14:40 ./usr/share/yosys/ice40/latches_map.v -rw-r--r-- root/root 342 2016-11-06 14:40 ./usr/share/yosys/pmux2mux.v -rw-r--r-- root/root 30627 2016-11-06 14:40 ./usr/share/yosys/simcells.v -rw-r--r-- root/root 36801 2016-11-06 14:40 ./usr/share/yosys/simlib.v -rw-r--r-- root/root 24959 2016-11-06 14:40 ./usr/share/yosys/smtio.py -rw-r--r-- root/root 11996 2016-11-06 14:40 ./usr/share/yosys/techmap.v drwxr-xr-x root/root 0 2016-11-06 14:40 ./usr/share/yosys/xilinx/ -rw-r--r-- root/root 2327 2016-11-06 14:40 ./usr/share/yosys/xilinx/arith_map.v -rw-r--r-- root/root 1674 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams.txt -rw-r--r-- root/root 22243 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams_bb.v -rw-r--r-- root/root 2048 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams_init_16.vh -rw-r--r-- root/root 81528 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams_init_18.vh -rw-r--r-- root/root 4096 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams_init_32.vh -rw-r--r-- root/root 165056 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams_init_36.vh -rw-r--r-- root/root 8255 2016-11-06 14:40 ./usr/share/yosys/xilinx/brams_map.v -rw-r--r-- root/root 4580 2016-11-06 14:40 ./usr/share/yosys/xilinx/cells_map.v -rw-r--r-- root/root 5265 2016-11-06 14:40 ./usr/share/yosys/xilinx/cells_sim.v -rw-r--r-- root/root 101350 2016-11-06 14:40 ./usr/share/yosys/xilinx/cells_xtra.v -rw-r--r-- root/root 423 2016-11-06 14:40 ./usr/share/yosys/xilinx/drams.txt -rw-r--r-- root/root 382 2016-11-06 14:40 ./usr/share/yosys/xilinx/drams_bb.v -rw-r--r-- root/root 1040 2016-11-06 14:40 ./usr/share/yosys/xilinx/drams_map.v +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Not removing build depends: as requested Keeping session: +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: i386 Build-Space: 685240 Build-Time: 724 Distribution: zesty-proposed Host Architecture: i386 Install-Time: 19 Job: yosys_0.7-2.dsc Machine Architecture: amd64 Package: yosys Package-Time: 744 Source-Version: 0.7-2 Space: 685240 Status: successful Version: 0.7-2 -------------------------------------------------------------------------------- Finished at 20161106-2334 Build needed 00:12:24, 685240k disc space RUN: /usr/share/launchpad-buildd/slavebin/scan-for-processes ['scan-for-processes', 'PACKAGEBUILD-11154673'] Scanning for processes to kill in build /home/buildd/build-PACKAGEBUILD-11154673/chroot-autobuild... RUN: /usr/share/launchpad-buildd/slavebin/umount-chroot ['umount-chroot', 'PACKAGEBUILD-11154673'] Unmounting chroot for build PACKAGEBUILD-11154673... RUN: /usr/share/launchpad-buildd/slavebin/remove-build ['remove-build', 'PACKAGEBUILD-11154673'] Removing build PACKAGEBUILD-11154673