https://launchpad.net/ubuntu/+source/yosys/0.8-1build1/+build/16281232 RUN: /usr/share/launchpad-buildd/slavebin/slave-prep Forking launchpad-buildd slave process... Kernel version: Linux bos02-ppc64el-012 4.4.0-141-generic #167-Ubuntu SMP Wed Dec 5 10:33:00 UTC 2018 ppc64le Buildd toolchain package versions: launchpad-buildd_165 python-lpbuildd_165 sbuild_0.67.0-2ubuntu7.1 bzr-builder_0.7.3+bzr174~ppa13~ubuntu14.10.1 bzr_2.7.0-2ubuntu3.1 git-build-recipe_0.3.4~git201611291343.dcee459~ubuntu16.04.1 git_1:2.7.4-0ubuntu1.6 dpkg-dev_1.18.4ubuntu1.5 python-debian_0.1.27ubuntu2. Syncing the system clock with the buildd NTP service... 15 Jan 11:09:47 ntpdate[1781]: adjust time server 10.211.37.1 offset 0.000727 sec RUN: /usr/share/launchpad-buildd/slavebin/in-target unpack-chroot --backend=chroot --series=disco --arch=ppc64el PACKAGEBUILD-16281232 /home/buildd/filecache-default/8ecade3ba39252410f2285d8b2f76e6336361d85 Creating target for build PACKAGEBUILD-16281232 RUN: /usr/share/launchpad-buildd/slavebin/in-target mount-chroot --backend=chroot --series=disco --arch=ppc64el PACKAGEBUILD-16281232 Starting target for build PACKAGEBUILD-16281232 RUN: /usr/share/launchpad-buildd/slavebin/in-target override-sources-list --backend=chroot --series=disco --arch=ppc64el PACKAGEBUILD-16281232 'deb http://ftpmaster.internal/ubuntu disco main universe' 'deb http://ftpmaster.internal/ubuntu disco-security main universe' 'deb http://ftpmaster.internal/ubuntu disco-updates main universe' 'deb http://ftpmaster.internal/ubuntu disco-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-16281232 RUN: /usr/share/launchpad-buildd/slavebin/in-target update-debian-chroot --backend=chroot --series=disco --arch=ppc64el PACKAGEBUILD-16281232 Updating target for build PACKAGEBUILD-16281232 Get:1 http://ftpmaster.internal/ubuntu disco InRelease [243 kB] Get:2 http://ftpmaster.internal/ubuntu disco-security InRelease [65.4 kB] Get:3 http://ftpmaster.internal/ubuntu disco-updates InRelease [65.4 kB] Get:4 http://ftpmaster.internal/ubuntu disco-proposed InRelease [93.2 kB] Get:5 http://ftpmaster.internal/ubuntu disco/main ppc64el Packages [968 kB] Get:6 http://ftpmaster.internal/ubuntu disco/main Translation-en [510 kB] Get:7 http://ftpmaster.internal/ubuntu disco/universe ppc64el Packages [8693 kB] Get:8 http://ftpmaster.internal/ubuntu disco/universe Translation-en [5196 kB] Get:9 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el Packages [107 kB] Get:10 http://ftpmaster.internal/ubuntu disco-proposed/main Translation-en [56.8 kB] Get:11 http://ftpmaster.internal/ubuntu disco-proposed/universe ppc64el Packages [463 kB] Get:12 http://ftpmaster.internal/ubuntu disco-proposed/universe Translation-en [271 kB] Fetched 16.7 MB in 4s (4235 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following package was automatically installed and is no longer required: libreadline7 Use 'sudo apt autoremove' to remove it. The following NEW packages will be installed: libreadline8 The following packages will be upgraded: apt bash binutils binutils-common binutils-powerpc64le-linux-gnu bsdutils coreutils cpp-8 dash dpkg dpkg-dev e2fslibs e2fsprogs fdisk g++-8 gcc-8 gcc-8-base gpg gpg-agent gpgconf gpgv grep gzip init init-system-helpers libapt-pkg5.0 libargon2-1 libasan5 libassuan0 libatomic1 libbinutils libblkid1 libcap-ng0 libcc1-0 libcom-err2 libcomerr2 libdpkg-perl libext2fs2 libfdisk1 libgcc-8-dev libgcc1 libgdbm-compat4 libgdbm6 libgnutls30 libgomp1 libgpg-error0 libitm1 liblsan0 liblz4-1 libmount1 libmpfr6 libpng16-16 libquadmath0 libsemanage-common libsemanage1 libsmartcols1 libsqlite3-0 libss2 libstdc++-8-dev libstdc++6 libtsan0 libubsan1 libuuid1 libzstd1 linux-libc-dev mount readline-common sed tar tzdata 70 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 43.0 MB of archives. After this operation, 913 kB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el bash ppc64el 5.0-1ubuntu1 [730 kB] Get:2 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el bsdutils ppc64el 1:2.33.1-0.1ubuntu1 [69.5 kB] Get:3 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el coreutils ppc64el 8.30-1ubuntu1 [1313 kB] Get:4 http://ftpmaster.internal/ubuntu disco/main ppc64el tar ppc64el 1.30+dfsg-4 [287 kB] Get:5 http://ftpmaster.internal/ubuntu disco/main ppc64el dpkg ppc64el 1.19.2ubuntu2 [1138 kB] Get:6 http://ftpmaster.internal/ubuntu disco/main ppc64el dash ppc64el 0.5.10.2-4ubuntu1 [101 kB] Get:7 http://ftpmaster.internal/ubuntu disco/main ppc64el grep ppc64el 3.3-1 [157 kB] Get:8 http://ftpmaster.internal/ubuntu disco/main ppc64el gzip ppc64el 1.9-3 [107 kB] Get:9 http://ftpmaster.internal/ubuntu disco/main ppc64el sed ppc64el 4.7-1 [203 kB] Get:10 http://ftpmaster.internal/ubuntu disco/main ppc64el init-system-helpers all 1.56+nmu1 [38.2 kB] Get:11 http://ftpmaster.internal/ubuntu disco/main ppc64el libgnutls30 ppc64el 3.6.5-2ubuntu1 [758 kB] Get:12 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libubsan1 ppc64el 8.2.0-14ubuntu1 [133 kB] Get:13 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libtsan0 ppc64el 8.2.0-14ubuntu1 [296 kB] Get:14 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el gcc-8-base ppc64el 8.2.0-14ubuntu1 [18.6 kB] Get:15 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libgcc1 ppc64el 1:8.2.0-14ubuntu1 [30.3 kB] Get:16 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libgomp1 ppc64el 8.2.0-14ubuntu1 [79.9 kB] Get:17 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libitm1 ppc64el 8.2.0-14ubuntu1 [29.3 kB] Get:18 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libatomic1 ppc64el 8.2.0-14ubuntu1 [9868 B] Get:19 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libasan5 ppc64el 8.2.0-14ubuntu1 [388 kB] Get:20 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el liblsan0 ppc64el 8.2.0-14ubuntu1 [144 kB] Get:21 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libquadmath0 ppc64el 8.2.0-14ubuntu1 [136 kB] Get:22 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el cpp-8 ppc64el 8.2.0-14ubuntu1 [6745 kB] Get:23 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libcc1-0 ppc64el 8.2.0-14ubuntu1 [40.7 kB] Get:24 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el g++-8 ppc64el 8.2.0-14ubuntu1 [7648 kB] Get:25 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el gcc-8 ppc64el 8.2.0-14ubuntu1 [7511 kB] Get:26 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libgcc-8-dev ppc64el 8.2.0-14ubuntu1 [1200 kB] Get:27 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libstdc++-8-dev ppc64el 8.2.0-14ubuntu1 [1592 kB] Get:28 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libstdc++6 ppc64el 8.2.0-14ubuntu1 [443 kB] Get:29 http://ftpmaster.internal/ubuntu disco/main ppc64el libmpfr6 ppc64el 4.0.2~rc1-1 [263 kB] Get:30 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libbinutils ppc64el 2.31.1-11ubuntu1 [521 kB] Get:31 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el binutils-common ppc64el 2.31.1-11ubuntu1 [194 kB] Get:32 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el binutils ppc64el 2.31.1-11ubuntu1 [3388 B] Get:33 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el binutils-powerpc64le-linux-gnu ppc64el 2.31.1-11ubuntu1 [2049 kB] Get:34 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el liblz4-1 ppc64el 1.8.3-1ubuntu1 [74.0 kB] Get:35 http://ftpmaster.internal/ubuntu disco/main ppc64el libzstd1 ppc64el 1.3.8+dfsg-3 [291 kB] Get:36 http://ftpmaster.internal/ubuntu disco/main ppc64el libapt-pkg5.0 ppc64el 1.8.0~alpha3 [899 kB] Get:37 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libgpg-error0 ppc64el 1.33-3 [70.4 kB] Get:38 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el gpgv ppc64el 2.2.12-1ubuntu2 [237 kB] Get:39 http://ftpmaster.internal/ubuntu disco/main ppc64el apt ppc64el 1.8.0~alpha3 [1201 kB] Get:40 http://ftpmaster.internal/ubuntu disco/main ppc64el libext2fs2 ppc64el 1.44.5-1 [191 kB] Get:41 http://ftpmaster.internal/ubuntu disco/main ppc64el e2fsprogs ppc64el 1.44.5-1 [554 kB] Get:42 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libuuid1 ppc64el 2.33.1-0.1ubuntu1 [22.6 kB] Get:43 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libblkid1 ppc64el 2.33.1-0.1ubuntu1 [159 kB] Get:44 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libmount1 ppc64el 2.33.1-0.1ubuntu1 [173 kB] Get:45 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libsmartcols1 ppc64el 2.33.1-0.1ubuntu1 [108 kB] Get:46 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el mount ppc64el 2.33.1-0.1ubuntu1 [122 kB] Get:47 http://ftpmaster.internal/ubuntu disco/main ppc64el init ppc64el 1.56+nmu1 [6080 B] Get:48 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libfdisk1 ppc64el 2.33.1-0.1ubuntu1 [196 kB] Get:49 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el fdisk ppc64el 2.33.1-0.1ubuntu1 [130 kB] Get:50 http://ftpmaster.internal/ubuntu disco/main ppc64el libcap-ng0 ppc64el 0.7.9-2 [12.4 kB] Get:51 http://ftpmaster.internal/ubuntu disco/main ppc64el libsemanage-common all 2.8-2 [7016 B] Get:52 http://ftpmaster.internal/ubuntu disco/main ppc64el libsemanage1 ppc64el 2.8-2 [97.5 kB] Get:53 http://ftpmaster.internal/ubuntu disco/main ppc64el libcom-err2 ppc64el 1.44.5-1 [9956 B] Get:54 http://ftpmaster.internal/ubuntu disco/main ppc64el libss2 ppc64el 1.44.5-1 [12.6 kB] Get:55 http://ftpmaster.internal/ubuntu disco/main ppc64el libargon2-1 ppc64el 0~20171227-0.2 [21.9 kB] Get:56 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el readline-common all 8.0-1 [53.4 kB] Get:57 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libreadline8 ppc64el 8.0-1 [144 kB] Get:58 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libsqlite3-0 ppc64el 3.26.0+fossilbc891ac6b-1build1 [564 kB] Get:59 http://ftpmaster.internal/ubuntu disco/main ppc64el tzdata all 2018i-1 [189 kB] Get:60 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libgdbm6 ppc64el 1.18.1-2build1 [32.1 kB] Get:61 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libpng16-16 ppc64el 1.6.36-3 [209 kB] Get:62 http://ftpmaster.internal/ubuntu disco/main ppc64el dpkg-dev all 1.19.2ubuntu2 [549 kB] Get:63 http://ftpmaster.internal/ubuntu disco/main ppc64el libdpkg-perl all 1.19.2ubuntu2 [227 kB] Get:64 http://ftpmaster.internal/ubuntu disco/main ppc64el e2fslibs ppc64el 1.44.5-1 [2704 B] Get:65 http://ftpmaster.internal/ubuntu disco/main ppc64el libassuan0 ppc64el 2.5.2-1 [38.7 kB] Get:66 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el gpg ppc64el 2.2.12-1ubuntu2 [541 kB] Get:67 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el gpgconf ppc64el 2.2.12-1ubuntu2 [147 kB] Get:68 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el gpg-agent ppc64el 2.2.12-1ubuntu2 [268 kB] Get:69 http://ftpmaster.internal/ubuntu disco/main ppc64el libcomerr2 ppc64el 1.44.5-1 [2700 B] Get:70 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libgdbm-compat4 ppc64el 1.18.1-2build1 [6680 B] Get:71 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el linux-libc-dev ppc64el 4.19.0-9.10 [1035 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 43.0 MB in 2s (22.8 MB/s) (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../bash_5.0-1ubuntu1_ppc64el.deb ... Unpacking bash (5.0-1ubuntu1) over (4.4.18-2ubuntu3) ... Setting up bash (5.0-1ubuntu1) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking bsdutils (1:2.33.1-0.1ubuntu1) over (1:2.32-0.1ubuntu2) ... Setting up bsdutils (1:2.33.1-0.1ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../coreutils_8.30-1ubuntu1_ppc64el.deb ... Unpacking coreutils (8.30-1ubuntu1) over (8.28-1ubuntu2) ... Setting up coreutils (8.30-1ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../tar_1.30+dfsg-4_ppc64el.deb ... Unpacking tar (1.30+dfsg-4) over (1.30+dfsg-3) ... Setting up tar (1.30+dfsg-4) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../dpkg_1.19.2ubuntu2_ppc64el.deb ... Unpacking dpkg (1.19.2ubuntu2) over (1.19.2ubuntu1) ... Setting up dpkg (1.19.2ubuntu2) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../dash_0.5.10.2-4ubuntu1_ppc64el.deb ... Unpacking dash (0.5.10.2-4ubuntu1) over (0.5.10.2-2) ... Setting up dash (0.5.10.2-4ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../grep_3.3-1_ppc64el.deb ... Unpacking grep (3.3-1) over (3.1-3) ... Setting up grep (3.3-1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../gzip_1.9-3_ppc64el.deb ... Unpacking gzip (1.9-3) over (1.6-5ubuntu2) ... Setting up gzip (1.9-3) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../archives/sed_4.7-1_ppc64el.deb ... Unpacking sed (4.7-1) over (4.5-2) ... Setting up sed (4.7-1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../init-system-helpers_1.56+nmu1_all.deb ... Unpacking init-system-helpers (1.56+nmu1) over (1.56) ... Setting up init-system-helpers (1.56+nmu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../libgnutls30_3.6.5-2ubuntu1_ppc64el.deb ... Unpacking libgnutls30:ppc64el (3.6.5-2ubuntu1) over (3.6.4-2ubuntu2) ... Setting up libgnutls30:ppc64el (3.6.5-2ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../libubsan1_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libubsan1:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../libtsan0_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libtsan0:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../gcc-8-base_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking gcc-8-base:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Setting up gcc-8-base:ppc64el (8.2.0-14ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../libgcc1_1%3a8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libgcc1:ppc64el (1:8.2.0-14ubuntu1) over (1:8.2.0-12ubuntu1) ... Setting up libgcc1:ppc64el (1:8.2.0-14ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../00-libgomp1_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libgomp1:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../01-libitm1_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libitm1:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../02-libatomic1_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libatomic1:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../03-libasan5_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libasan5:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../04-liblsan0_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking liblsan0:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../05-libquadmath0_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libquadmath0:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../06-cpp-8_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking cpp-8 (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../07-libcc1-0_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libcc1-0:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../08-g++-8_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking g++-8 (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../09-gcc-8_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking gcc-8 (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../10-libgcc-8-dev_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libgcc-8-dev:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../11-libstdc++-8-dev_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libstdc++-8-dev:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Preparing to unpack .../12-libstdc++6_8.2.0-14ubuntu1_ppc64el.deb ... Unpacking libstdc++6:ppc64el (8.2.0-14ubuntu1) over (8.2.0-12ubuntu1) ... Setting up libstdc++6:ppc64el (8.2.0-14ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../0-libmpfr6_4.0.2~rc1-1_ppc64el.deb ... Unpacking libmpfr6:ppc64el (4.0.2~rc1-1) over (4.0.1-2) ... Preparing to unpack .../1-libbinutils_2.31.1-11ubuntu1_ppc64el.deb ... Unpacking libbinutils:ppc64el (2.31.1-11ubuntu1) over (2.31.1-10ubuntu1) ... Preparing to unpack .../2-binutils-common_2.31.1-11ubuntu1_ppc64el.deb ... Unpacking binutils-common:ppc64el (2.31.1-11ubuntu1) over (2.31.1-10ubuntu1) ... Preparing to unpack .../3-binutils_2.31.1-11ubuntu1_ppc64el.deb ... Unpacking binutils (2.31.1-11ubuntu1) over (2.31.1-10ubuntu1) ... Preparing to unpack .../4-binutils-powerpc64le-linux-gnu_2.31.1-11ubuntu1_ppc64el.deb ... Unpacking binutils-powerpc64le-linux-gnu (2.31.1-11ubuntu1) over (2.31.1-10ubuntu1) ... Preparing to unpack .../5-liblz4-1_1.8.3-1ubuntu1_ppc64el.deb ... Unpacking liblz4-1:ppc64el (1.8.3-1ubuntu1) over (1.8.2-1ubuntu1) ... Setting up liblz4-1:ppc64el (1.8.3-1ubuntu1) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../libzstd1_1.3.8+dfsg-3_ppc64el.deb ... Unpacking libzstd1:ppc64el (1.3.8+dfsg-3) over (1.3.5+dfsg-1ubuntu1) ... Setting up libzstd1:ppc64el (1.3.8+dfsg-3) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../libapt-pkg5.0_1.8.0~alpha3_ppc64el.deb ... Unpacking libapt-pkg5.0:ppc64el (1.8.0~alpha3) over (1.8.0~alpha2ubuntu1) ... Setting up libapt-pkg5.0:ppc64el (1.8.0~alpha3) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../libgpg-error0_1.33-3_ppc64el.deb ... Unpacking libgpg-error0:ppc64el (1.33-3) over (1.32-3) ... Setting up libgpg-error0:ppc64el (1.33-3) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../gpgv_2.2.12-1ubuntu2_ppc64el.deb ... Unpacking gpgv (2.2.12-1ubuntu2) over (2.2.8-3ubuntu1) ... Setting up gpgv (2.2.12-1ubuntu2) ... (Reading database ... 12596 files and directories currently installed.) Preparing to unpack .../apt_1.8.0~alpha3_ppc64el.deb ... Unpacking apt (1.8.0~alpha3) over (1.8.0~alpha2ubuntu1) ... Setting up apt (1.8.0~alpha3) ... (Reading database ... 12595 files and directories currently installed.) Preparing to unpack .../libext2fs2_1.44.5-1_ppc64el.deb ... Unpacking libext2fs2:ppc64el (1.44.5-1) over (1.44.4-2ubuntu1) ... Setting up libext2fs2:ppc64el (1.44.5-1) ... (Reading database ... 12594 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.44.5-1_ppc64el.deb ... Unpacking e2fsprogs (1.44.5-1) over (1.44.4-2ubuntu1) ... Preparing to unpack .../libuuid1_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking libuuid1:ppc64el (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Setting up libuuid1:ppc64el (2.33.1-0.1ubuntu1) ... (Reading database ... 12594 files and directories currently installed.) Preparing to unpack .../libblkid1_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking libblkid1:ppc64el (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Setting up libblkid1:ppc64el (2.33.1-0.1ubuntu1) ... (Reading database ... 12594 files and directories currently installed.) Preparing to unpack .../libmount1_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking libmount1:ppc64el (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Setting up libmount1:ppc64el (2.33.1-0.1ubuntu1) ... (Reading database ... 12594 files and directories currently installed.) Preparing to unpack .../libsmartcols1_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking libsmartcols1:ppc64el (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Setting up libsmartcols1:ppc64el (2.33.1-0.1ubuntu1) ... (Reading database ... 12594 files and directories currently installed.) Preparing to unpack .../mount_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking mount (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Preparing to unpack .../init_1.56+nmu1_ppc64el.deb ... Unpacking init (1.56+nmu1) over (1.56) ... Preparing to unpack .../libfdisk1_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking libfdisk1:ppc64el (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Setting up libfdisk1:ppc64el (2.33.1-0.1ubuntu1) ... (Reading database ... 12594 files and directories currently installed.) Preparing to unpack .../fdisk_2.33.1-0.1ubuntu1_ppc64el.deb ... Unpacking fdisk (2.33.1-0.1ubuntu1) over (2.32-0.1ubuntu2) ... Setting up fdisk (2.33.1-0.1ubuntu1) ... (Reading database ... 12597 files and directories currently installed.) Preparing to unpack .../libcap-ng0_0.7.9-2_ppc64el.deb ... Unpacking libcap-ng0:ppc64el (0.7.9-2) over (0.7.9-1build1) ... Setting up libcap-ng0:ppc64el (0.7.9-2) ... (Reading database ... 12597 files and directories currently installed.) Preparing to unpack .../libsemanage-common_2.8-2_all.deb ... Unpacking libsemanage-common (2.8-2) over (2.8-1build2) ... Setting up libsemanage-common (2.8-2) ... (Reading database ... 12597 files and directories currently installed.) Preparing to unpack .../libsemanage1_2.8-2_ppc64el.deb ... Unpacking libsemanage1:ppc64el (2.8-2) over (2.8-1build2) ... Setting up libsemanage1:ppc64el (2.8-2) ... (Reading database ... 12597 files and directories currently installed.) Preparing to unpack .../00-libcom-err2_1.44.5-1_ppc64el.deb ... Unpacking libcom-err2:ppc64el (1.44.5-1) over (1.44.4-2ubuntu1) ... Preparing to unpack .../01-libss2_1.44.5-1_ppc64el.deb ... Unpacking libss2:ppc64el (1.44.5-1) over (1.44.4-2ubuntu1) ... Preparing to unpack .../02-libargon2-1_0~20171227-0.2_ppc64el.deb ... Unpacking libargon2-1:ppc64el (0~20171227-0.2) over (0~20171227-0.1) ... Preparing to unpack .../03-readline-common_8.0-1_all.deb ... Unpacking readline-common (8.0-1) over (7.0-5) ... Selecting previously unselected package libreadline8:ppc64el. Preparing to unpack .../04-libreadline8_8.0-1_ppc64el.deb ... Unpacking libreadline8:ppc64el (8.0-1) ... Preparing to unpack .../05-libsqlite3-0_3.26.0+fossilbc891ac6b-1build1_ppc64el.deb ... Unpacking libsqlite3-0:ppc64el (3.26.0+fossilbc891ac6b-1build1) over (3.26.0-2) ... Preparing to unpack .../06-tzdata_2018i-1_all.deb ... Unpacking tzdata (2018i-1) over (2018g-1) ... Preparing to unpack .../07-libgdbm6_1.18.1-2build1_ppc64el.deb ... Unpacking libgdbm6:ppc64el (1.18.1-2build1) over (1.18.1-2) ... Preparing to unpack .../08-libpng16-16_1.6.36-3_ppc64el.deb ... Unpacking libpng16-16:ppc64el (1.6.36-3) over (1.6.34-2) ... Preparing to unpack .../09-dpkg-dev_1.19.2ubuntu2_all.deb ... Unpacking dpkg-dev (1.19.2ubuntu2) over (1.19.2ubuntu1) ... Preparing to unpack .../10-libdpkg-perl_1.19.2ubuntu2_all.deb ... Unpacking libdpkg-perl (1.19.2ubuntu2) over (1.19.2ubuntu1) ... Preparing to unpack .../11-e2fslibs_1.44.5-1_ppc64el.deb ... Unpacking e2fslibs:ppc64el (1.44.5-1) over (1.44.4-2ubuntu1) ... Preparing to unpack .../12-libassuan0_2.5.2-1_ppc64el.deb ... Unpacking libassuan0:ppc64el (2.5.2-1) over (2.5.1-2) ... Preparing to unpack .../13-gpg_2.2.12-1ubuntu2_ppc64el.deb ... Unpacking gpg (2.2.12-1ubuntu2) over (2.2.8-3ubuntu1) ... Preparing to unpack .../14-gpgconf_2.2.12-1ubuntu2_ppc64el.deb ... Unpacking gpgconf (2.2.12-1ubuntu2) over (2.2.8-3ubuntu1) ... Preparing to unpack .../15-gpg-agent_2.2.12-1ubuntu2_ppc64el.deb ... Unpacking gpg-agent (2.2.12-1ubuntu2) over (2.2.8-3ubuntu1) ... Preparing to unpack .../16-libcomerr2_1.44.5-1_ppc64el.deb ... Unpacking libcomerr2:ppc64el (1.44.5-1) over (1.44.4-2ubuntu1) ... Preparing to unpack .../17-libgdbm-compat4_1.18.1-2build1_ppc64el.deb ... Unpacking libgdbm-compat4:ppc64el (1.18.1-2build1) over (1.18.1-2) ... Preparing to unpack .../18-linux-libc-dev_4.19.0-9.10_ppc64el.deb ... Unpacking linux-libc-dev:ppc64el (4.19.0-9.10) over (4.18.0-11.12) ... Setting up libquadmath0:ppc64el (8.2.0-14ubuntu1) ... Setting up libcom-err2:ppc64el (1.44.5-1) ... Setting up libgomp1:ppc64el (8.2.0-14ubuntu1) ... Setting up libatomic1:ppc64el (8.2.0-14ubuntu1) ... Setting up readline-common (8.0-1) ... Setting up libss2:ppc64el (1.44.5-1) ... Setting up libcc1-0:ppc64el (8.2.0-14ubuntu1) ... Setting up e2fslibs:ppc64el (1.44.5-1) ... Setting up libpng16-16:ppc64el (1.6.36-3) ... Setting up tzdata (2018i-1) ... Current default time zone: 'Etc/UTC' Local time is now: Tue Jan 15 11:10:10 UTC 2019. Universal Time is now: Tue Jan 15 11:10:10 UTC 2019. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up init (1.56+nmu1) ... Setting up libtsan0:ppc64el (8.2.0-14ubuntu1) ... Setting up linux-libc-dev:ppc64el (4.19.0-9.10) ... Setting up libmpfr6:ppc64el (4.0.2~rc1-1) ... Setting up mount (2.33.1-0.1ubuntu1) ... Setting up libdpkg-perl (1.19.2ubuntu2) ... Setting up e2fsprogs (1.44.5-1) ... Setting up liblsan0:ppc64el (8.2.0-14ubuntu1) ... Setting up libgdbm6:ppc64el (1.18.1-2build1) ... Setting up binutils-common:ppc64el (2.31.1-11ubuntu1) ... Processing triggers for libc-bin (2.28-0ubuntu1) ... Setting up libsqlite3-0:ppc64el (3.26.0+fossilbc891ac6b-1build1) ... Setting up libcomerr2:ppc64el (1.44.5-1) ... Setting up libgdbm-compat4:ppc64el (1.18.1-2build1) ... Setting up libargon2-1:ppc64el (0~20171227-0.2) ... Setting up libasan5:ppc64el (8.2.0-14ubuntu1) ... Setting up libassuan0:ppc64el (2.5.2-1) ... Setting up libitm1:ppc64el (8.2.0-14ubuntu1) ... Setting up libreadline8:ppc64el (8.0-1) ... Setting up libubsan1:ppc64el (8.2.0-14ubuntu1) ... Setting up cpp-8 (8.2.0-14ubuntu1) ... Setting up libbinutils:ppc64el (2.31.1-11ubuntu1) ... Setting up gpgconf (2.2.12-1ubuntu2) ... Setting up binutils-powerpc64le-linux-gnu (2.31.1-11ubuntu1) ... Setting up gpg-agent (2.2.12-1ubuntu2) ... Setting up libgcc-8-dev:ppc64el (8.2.0-14ubuntu1) ... Setting up binutils (2.31.1-11ubuntu1) ... Setting up gpg (2.2.12-1ubuntu2) ... Setting up libstdc++-8-dev:ppc64el (8.2.0-14ubuntu1) ... Setting up gcc-8 (8.2.0-14ubuntu1) ... Setting up g++-8 (8.2.0-14ubuntu1) ... Setting up dpkg-dev (1.19.2ubuntu2) ... Processing triggers for libc-bin (2.28-0ubuntu1) ... RUN: /usr/share/launchpad-buildd/slavebin/sbuild-package PACKAGEBUILD-16281232 ppc64el disco-proposed -c chroot:build-PACKAGEBUILD-16281232 --arch=ppc64el --dist=disco-proposed --nolog yosys_0.8-1build1.dsc Initiating build PACKAGEBUILD-16281232 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 4.4.0-141-generic #167-Ubuntu SMP Wed Dec 5 10:33:00 UTC 2018 ppc64le sbuild (Debian sbuild) 0.67.0 (26 Dec 2015) on bos02-ppc64el-012.buildd +==============================================================================+ | yosys 0.8-1build1 (ppc64el) 15 Jan 2019 11:10 | +==============================================================================+ Package: yosys Version: 0.8-1build1 Source Version: 0.8-1build1 Distribution: disco-proposed Machine Architecture: ppc64el Host Architecture: ppc64el Build Architecture: ppc64el I: NOTICE: Log filtering will replace 'build/yosys-iI6NdW/yosys-0.8' with '<>' I: NOTICE: Log filtering will replace 'build/yosys-iI6NdW' with '<>' I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-16281232/chroot-autobuild' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- yosys_0.8-1build1.dsc exists in .; copying to chroot Check architectures ------------------- Check dependencies ------------------ Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<>/resolver-RD7quk/apt_archive/sbuild-build-depends-core-dummy.deb'. Ign:1 copy:/<>/resolver-RD7quk/apt_archive ./ InRelease Get:2 copy:/<>/resolver-RD7quk/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-RD7quk/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-RD7quk/apt_archive ./ Sources [214 B] Get:5 copy:/<>/resolver-RD7quk/apt_archive ./ Packages [528 B] Fetched 2861 B in 0s (183 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install core build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following package was automatically installed and is no longer required: libreadline7 Use 'apt autoremove' to remove it. The following NEW packages will be installed: sbuild-build-depends-core-dummy 0 upgraded, 1 newly installed, 0 to remove and 1 not upgraded. Need to get 856 B of archives. After this operation, 0 B of additional disk space will be used. Get:1 copy:/<>/resolver-RD7quk/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [856 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 856 B in 0s (0 B/s) Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... 12615 files and directories currently installed.) Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_ppc64el.deb ... Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... Merged Build-Depends: debhelper (>= 11), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) Filtered Build-Depends: debhelper (>= 11), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<>/resolver-YSOJge/apt_archive/sbuild-build-depends-yosys-dummy.deb'. Ign:1 copy:/<>/resolver-YSOJge/apt_archive ./ InRelease Get:2 copy:/<>/resolver-YSOJge/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-YSOJge/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-YSOJge/apt_archive ./ Sources [306 B] Get:5 copy:/<>/resolver-YSOJge/apt_archive ./ Packages [631 B] Fetched 3056 B in 0s (208 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install yosys build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following additional packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libbison-dev libbsd0 libcroco3 libelf1 libexpat1 libffi-dev libfile-stripnondeterminism-perl libglib2.0-0 libicu63 libmagic-mgc libmagic1 libmpdec2 libncurses-dev libpipeline1 libpython3-stdlib libpython3.7-minimal libpython3.7-stdlib libreadline-dev libsigsegv2 libtcl8.6 libtool libuchardet0 libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-distutils python3-lib2to3 python3-minimal python3.7 python3.7-minimal tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc bison-doc wamerican | wordlist whois vacation dh-make flex-doc gawk-doc gettext-doc libasprintf-dev libgettextpo-dev groff gtkwave ncurses-doc readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv python3.7-venv python3.7-doc binfmt-support tcl-doc tcl-tclreadline tcl8.6-doc Recommended packages: libfl-dev curl | wget | lynx libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl The following NEW packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison bsdmainutils debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libbison-dev libbsd0 libcroco3 libelf1 libexpat1 libffi-dev libfile-stripnondeterminism-perl libglib2.0-0 libicu63 libmagic-mgc libmagic1 libmpdec2 libncurses-dev libpipeline1 libpython3-stdlib libpython3.7-minimal libpython3.7-stdlib libreadline-dev libsigsegv2 libtcl8.6 libtool libuchardet0 libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-distutils python3-lib2to3 python3-minimal python3.7 python3.7-minimal sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev 0 upgraded, 62 newly installed, 0 to remove and 1 not upgraded. Need to get 35.3 MB of archives. After this operation, 153 MB of additional disk space will be used. Get:1 copy:/<>/resolver-YSOJge/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [964 B] Get:2 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libpython3.7-minimal ppc64el 3.7.2-1build1 [549 kB] Get:3 http://ftpmaster.internal/ubuntu disco/main ppc64el libexpat1 ppc64el 2.2.6-1 [87.0 kB] Get:4 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el python3.7-minimal ppc64el 3.7.2-1build1 [1970 kB] Get:5 http://ftpmaster.internal/ubuntu disco/main ppc64el python3-minimal ppc64el 3.7.1-1ubuntu1 [23.6 kB] Get:6 http://ftpmaster.internal/ubuntu disco/main ppc64el mime-support all 3.60ubuntu1 [30.1 kB] Get:7 http://ftpmaster.internal/ubuntu disco/main ppc64el libmpdec2 ppc64el 2.4.2-2 [86.8 kB] Get:8 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libpython3.7-stdlib ppc64el 3.7.2-1build1 [1794 kB] Get:9 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el python3.7 ppc64el 3.7.2-1build1 [277 kB] Get:10 http://ftpmaster.internal/ubuntu disco/main ppc64el libpython3-stdlib ppc64el 3.7.1-1ubuntu1 [7128 B] Get:11 http://ftpmaster.internal/ubuntu disco/main ppc64el python3 ppc64el 3.7.1-1ubuntu1 [47.2 kB] Get:12 http://ftpmaster.internal/ubuntu disco/main ppc64el libbsd0 ppc64el 0.9.1-1 [53.6 kB] Get:13 http://ftpmaster.internal/ubuntu disco/main ppc64el bsdmainutils ppc64el 11.1.2ubuntu2 [185 kB] Get:14 http://ftpmaster.internal/ubuntu disco/universe ppc64el libuchardet0 ppc64el 0.0.6-3 [67.7 kB] Get:15 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el groff-base ppc64el 1.22.4-2 [935 kB] Get:16 http://ftpmaster.internal/ubuntu disco/main ppc64el libpipeline1 ppc64el 1.5.0-2 [27.4 kB] Get:17 http://ftpmaster.internal/ubuntu disco/main ppc64el man-db ppc64el 2.8.5-1 [1140 kB] Get:18 http://ftpmaster.internal/ubuntu disco/main ppc64el libsigsegv2 ppc64el 2.12-2 [13.9 kB] Get:19 http://ftpmaster.internal/ubuntu disco/main ppc64el m4 ppc64el 1.4.18-2 [203 kB] Get:20 http://ftpmaster.internal/ubuntu disco/main ppc64el flex ppc64el 2.6.4-6.2 [325 kB] Get:21 http://ftpmaster.internal/ubuntu disco/main ppc64el gawk ppc64el 1:4.2.1+dfsg-1 [450 kB] Get:22 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libmagic-mgc ppc64el 1:5.35-2 [200 kB] Get:23 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libmagic1 ppc64el 1:5.35-2 [90.9 kB] Get:24 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el file ppc64el 1:5.35-2 [24.2 kB] Get:25 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libelf1 ppc64el 0.175-2 [52.3 kB] Get:26 http://ftpmaster.internal/ubuntu disco/main ppc64el libglib2.0-0 ppc64el 2.58.1-2 [1301 kB] Get:27 http://ftpmaster.internal/ubuntu disco/main ppc64el libicu63 ppc64el 63.1-5 [8402 kB] Get:28 http://ftpmaster.internal/ubuntu disco/main ppc64el libxml2 ppc64el 2.9.4+dfsg1-7ubuntu3 [666 kB] Get:29 http://ftpmaster.internal/ubuntu disco/main ppc64el gettext-base ppc64el 0.19.8.1-9 [52.8 kB] Get:30 http://ftpmaster.internal/ubuntu disco/main ppc64el autoconf all 2.69-11 [322 kB] Get:31 http://ftpmaster.internal/ubuntu disco/main ppc64el autotools-dev all 20180224.1 [39.6 kB] Get:32 http://ftpmaster.internal/ubuntu disco/main ppc64el automake all 1:1.16.1-4 [522 kB] Get:33 http://ftpmaster.internal/ubuntu disco/main ppc64el autopoint all 0.19.8.1-9 [412 kB] Get:34 http://ftpmaster.internal/ubuntu disco-proposed/universe ppc64el berkeley-abc ppc64el 1.01+20181130git163bba5+dfsg-1build1 [6379 kB] Get:35 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libbison-dev ppc64el 2:3.2.4.dfsg-1 [343 kB] Get:36 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el bison ppc64el 2:3.2.4.dfsg-1 [290 kB] Get:37 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libtool all 2.4.6-7ubuntu1 [195 kB] Get:38 http://ftpmaster.internal/ubuntu disco/main ppc64el dh-autoreconf all 19 [16.1 kB] Get:39 http://ftpmaster.internal/ubuntu disco/main ppc64el libarchive-zip-perl all 1.64-1 [84.9 kB] Get:40 http://ftpmaster.internal/ubuntu disco/main ppc64el libfile-stripnondeterminism-perl all 1.1.0-1 [13.6 kB] Get:41 http://ftpmaster.internal/ubuntu disco/main ppc64el dh-strip-nondeterminism all 1.1.0-1 [5100 B] Get:42 http://ftpmaster.internal/ubuntu disco/main ppc64el dwz ppc64el 0.12-3 [87.4 kB] Get:43 http://ftpmaster.internal/ubuntu disco/main ppc64el libcroco3 ppc64el 0.6.12-3 [92.5 kB] Get:44 http://ftpmaster.internal/ubuntu disco/main ppc64el gettext ppc64el 0.19.8.1-9 [978 kB] Get:45 http://ftpmaster.internal/ubuntu disco/main ppc64el intltool-debian all 0.35.0+20060710.4 [24.9 kB] Get:46 http://ftpmaster.internal/ubuntu disco/main ppc64el po-debconf all 1.0.21 [233 kB] Get:47 http://ftpmaster.internal/ubuntu disco/main ppc64el debhelper all 12ubuntu1 [887 kB] Get:48 http://ftpmaster.internal/ubuntu disco/main ppc64el python3-lib2to3 all 3.7.2-2 [74.3 kB] Get:49 http://ftpmaster.internal/ubuntu disco/main ppc64el python3-distutils all 3.7.2-2 [139 kB] Get:50 http://ftpmaster.internal/ubuntu disco/main ppc64el dh-python all 3.20180927ubuntu2 [90.9 kB] Get:51 http://ftpmaster.internal/ubuntu disco-proposed/universe ppc64el iverilog ppc64el 10.2-1.1build1 [1954 kB] Get:52 http://ftpmaster.internal/ubuntu disco/main ppc64el libncurses-dev ppc64el 6.1+20180210-4ubuntu1 [408 kB] Get:53 http://ftpmaster.internal/ubuntu disco-proposed/main ppc64el libreadline-dev ppc64el 8.0-1 [181 kB] Get:54 http://ftpmaster.internal/ubuntu disco/main ppc64el libtcl8.6 ppc64el 8.6.9+dfsg-1 [1020 kB] Get:55 http://ftpmaster.internal/ubuntu disco/main ppc64el pkg-config ppc64el 0.29.1-0ubuntu2 [44.1 kB] Get:56 http://ftpmaster.internal/ubuntu disco/main ppc64el tcl8.6 ppc64el 8.6.9+dfsg-1 [14.7 kB] Get:57 http://ftpmaster.internal/ubuntu disco/universe ppc64el tcl ppc64el 8.6.0+9 [5134 B] Get:58 http://ftpmaster.internal/ubuntu disco/main ppc64el zlib1g-dev ppc64el 1:1.2.11.dfsg-0ubuntu2 [178 kB] Get:59 http://ftpmaster.internal/ubuntu disco/main ppc64el tcl8.6-dev ppc64el 8.6.9+dfsg-1 [1054 kB] Get:60 http://ftpmaster.internal/ubuntu disco/universe ppc64el tcl-dev ppc64el 8.6.0+9 [5630 B] Get:61 http://ftpmaster.internal/ubuntu disco/universe ppc64el txt2man all 1.6.0-4 [30.7 kB] Get:62 http://ftpmaster.internal/ubuntu disco/main ppc64el libffi-dev ppc64el 3.2.1-9 [162 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 35.3 MB in 2s (20.5 MB/s) Selecting previously unselected package libpython3.7-minimal:ppc64el. (Reading database ... 12615 files and directories currently installed.) Preparing to unpack .../libpython3.7-minimal_3.7.2-1build1_ppc64el.deb ... Unpacking libpython3.7-minimal:ppc64el (3.7.2-1build1) ... Selecting previously unselected package libexpat1:ppc64el. Preparing to unpack .../libexpat1_2.2.6-1_ppc64el.deb ... Unpacking libexpat1:ppc64el (2.2.6-1) ... Selecting previously unselected package python3.7-minimal. Preparing to unpack .../python3.7-minimal_3.7.2-1build1_ppc64el.deb ... Unpacking python3.7-minimal (3.7.2-1build1) ... Setting up libpython3.7-minimal:ppc64el (3.7.2-1build1) ... Setting up libexpat1:ppc64el (2.2.6-1) ... Setting up python3.7-minimal (3.7.2-1build1) ... Selecting previously unselected package python3-minimal. (Reading database ... 12864 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.7.1-1ubuntu1_ppc64el.deb ... Unpacking python3-minimal (3.7.1-1ubuntu1) ... Selecting previously unselected package mime-support. Preparing to unpack .../1-mime-support_3.60ubuntu1_all.deb ... Unpacking mime-support (3.60ubuntu1) ... Selecting previously unselected package libmpdec2:ppc64el. Preparing to unpack .../2-libmpdec2_2.4.2-2_ppc64el.deb ... Unpacking libmpdec2:ppc64el (2.4.2-2) ... Selecting previously unselected package libpython3.7-stdlib:ppc64el. Preparing to unpack .../3-libpython3.7-stdlib_3.7.2-1build1_ppc64el.deb ... Unpacking libpython3.7-stdlib:ppc64el (3.7.2-1build1) ... Selecting previously unselected package python3.7. Preparing to unpack .../4-python3.7_3.7.2-1build1_ppc64el.deb ... Unpacking python3.7 (3.7.2-1build1) ... Selecting previously unselected package libpython3-stdlib:ppc64el. Preparing to unpack .../5-libpython3-stdlib_3.7.1-1ubuntu1_ppc64el.deb ... Unpacking libpython3-stdlib:ppc64el (3.7.1-1ubuntu1) ... Setting up python3-minimal (3.7.1-1ubuntu1) ... Selecting previously unselected package python3. (Reading database ... 13298 files and directories currently installed.) Preparing to unpack .../0-python3_3.7.1-1ubuntu1_ppc64el.deb ... Unpacking python3 (3.7.1-1ubuntu1) ... Selecting previously unselected package libbsd0:ppc64el. Preparing to unpack .../1-libbsd0_0.9.1-1_ppc64el.deb ... Unpacking libbsd0:ppc64el (0.9.1-1) ... Selecting previously unselected package bsdmainutils. Preparing to unpack .../2-bsdmainutils_11.1.2ubuntu2_ppc64el.deb ... Unpacking bsdmainutils (11.1.2ubuntu2) ... Selecting previously unselected package libuchardet0:ppc64el. Preparing to unpack .../3-libuchardet0_0.0.6-3_ppc64el.deb ... Unpacking libuchardet0:ppc64el (0.0.6-3) ... Selecting previously unselected package groff-base. Preparing to unpack .../4-groff-base_1.22.4-2_ppc64el.deb ... Unpacking groff-base (1.22.4-2) ... Selecting previously unselected package libpipeline1:ppc64el. Preparing to unpack .../5-libpipeline1_1.5.0-2_ppc64el.deb ... Unpacking libpipeline1:ppc64el (1.5.0-2) ... Selecting previously unselected package man-db. Preparing to unpack .../6-man-db_2.8.5-1_ppc64el.deb ... Unpacking man-db (2.8.5-1) ... Selecting previously unselected package libsigsegv2:ppc64el. Preparing to unpack .../7-libsigsegv2_2.12-2_ppc64el.deb ... Unpacking libsigsegv2:ppc64el (2.12-2) ... Selecting previously unselected package m4. Preparing to unpack .../8-m4_1.4.18-2_ppc64el.deb ... Unpacking m4 (1.4.18-2) ... Selecting previously unselected package flex. Preparing to unpack .../9-flex_2.6.4-6.2_ppc64el.deb ... Unpacking flex (2.6.4-6.2) ... Setting up libsigsegv2:ppc64el (2.12-2) ... Selecting previously unselected package gawk. (Reading database ... 14039 files and directories currently installed.) Preparing to unpack .../00-gawk_1%3a4.2.1+dfsg-1_ppc64el.deb ... Unpacking gawk (1:4.2.1+dfsg-1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../01-libmagic-mgc_1%3a5.35-2_ppc64el.deb ... Unpacking libmagic-mgc (1:5.35-2) ... Selecting previously unselected package libmagic1:ppc64el. Preparing to unpack .../02-libmagic1_1%3a5.35-2_ppc64el.deb ... Unpacking libmagic1:ppc64el (1:5.35-2) ... Selecting previously unselected package file. Preparing to unpack .../03-file_1%3a5.35-2_ppc64el.deb ... Unpacking file (1:5.35-2) ... Selecting previously unselected package libelf1:ppc64el. Preparing to unpack .../04-libelf1_0.175-2_ppc64el.deb ... Unpacking libelf1:ppc64el (0.175-2) ... Selecting previously unselected package libglib2.0-0:ppc64el. Preparing to unpack .../05-libglib2.0-0_2.58.1-2_ppc64el.deb ... Unpacking libglib2.0-0:ppc64el (2.58.1-2) ... Selecting previously unselected package libicu63:ppc64el. Preparing to unpack .../06-libicu63_63.1-5_ppc64el.deb ... Unpacking libicu63:ppc64el (63.1-5) ... Selecting previously unselected package libxml2:ppc64el. Preparing to unpack .../07-libxml2_2.9.4+dfsg1-7ubuntu3_ppc64el.deb ... Unpacking libxml2:ppc64el (2.9.4+dfsg1-7ubuntu3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../08-gettext-base_0.19.8.1-9_ppc64el.deb ... Unpacking gettext-base (0.19.8.1-9) ... Selecting previously unselected package autoconf. Preparing to unpack .../09-autoconf_2.69-11_all.deb ... Unpacking autoconf (2.69-11) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../10-autotools-dev_20180224.1_all.deb ... Unpacking autotools-dev (20180224.1) ... Selecting previously unselected package automake. Preparing to unpack .../11-automake_1%3a1.16.1-4_all.deb ... Unpacking automake (1:1.16.1-4) ... Selecting previously unselected package autopoint. Preparing to unpack .../12-autopoint_0.19.8.1-9_all.deb ... Unpacking autopoint (0.19.8.1-9) ... Selecting previously unselected package berkeley-abc. Preparing to unpack .../13-berkeley-abc_1.01+20181130git163bba5+dfsg-1build1_ppc64el.deb ... Unpacking berkeley-abc (1.01+20181130git163bba5+dfsg-1build1) ... Selecting previously unselected package libbison-dev:ppc64el. Preparing to unpack .../14-libbison-dev_2%3a3.2.4.dfsg-1_ppc64el.deb ... Unpacking libbison-dev:ppc64el (2:3.2.4.dfsg-1) ... Selecting previously unselected package bison. Preparing to unpack .../15-bison_2%3a3.2.4.dfsg-1_ppc64el.deb ... Unpacking bison (2:3.2.4.dfsg-1) ... Selecting previously unselected package libtool. Preparing to unpack .../16-libtool_2.4.6-7ubuntu1_all.deb ... Unpacking libtool (2.4.6-7ubuntu1) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../17-dh-autoreconf_19_all.deb ... Unpacking dh-autoreconf (19) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../18-libarchive-zip-perl_1.64-1_all.deb ... Unpacking libarchive-zip-perl (1.64-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../19-libfile-stripnondeterminism-perl_1.1.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.1.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../20-dh-strip-nondeterminism_1.1.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.1.0-1) ... Selecting previously unselected package dwz. Preparing to unpack .../21-dwz_0.12-3_ppc64el.deb ... Unpacking dwz (0.12-3) ... Selecting previously unselected package libcroco3:ppc64el. Preparing to unpack .../22-libcroco3_0.6.12-3_ppc64el.deb ... Unpacking libcroco3:ppc64el (0.6.12-3) ... Selecting previously unselected package gettext. Preparing to unpack .../23-gettext_0.19.8.1-9_ppc64el.deb ... Unpacking gettext (0.19.8.1-9) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../24-intltool-debian_0.35.0+20060710.4_all.deb ... Unpacking intltool-debian (0.35.0+20060710.4) ... Selecting previously unselected package po-debconf. Preparing to unpack .../25-po-debconf_1.0.21_all.deb ... Unpacking po-debconf (1.0.21) ... Selecting previously unselected package debhelper. Preparing to unpack .../26-debhelper_12ubuntu1_all.deb ... Unpacking debhelper (12ubuntu1) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../27-python3-lib2to3_3.7.2-2_all.deb ... Unpacking python3-lib2to3 (3.7.2-2) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../28-python3-distutils_3.7.2-2_all.deb ... Unpacking python3-distutils (3.7.2-2) ... Selecting previously unselected package dh-python. Preparing to unpack .../29-dh-python_3.20180927ubuntu2_all.deb ... Unpacking dh-python (3.20180927ubuntu2) ... Selecting previously unselected package iverilog. Preparing to unpack .../30-iverilog_10.2-1.1build1_ppc64el.deb ... Unpacking iverilog (10.2-1.1build1) ... Selecting previously unselected package libncurses-dev:ppc64el. Preparing to unpack .../31-libncurses-dev_6.1+20180210-4ubuntu1_ppc64el.deb ... Unpacking libncurses-dev:ppc64el (6.1+20180210-4ubuntu1) ... Selecting previously unselected package libreadline-dev:ppc64el. Preparing to unpack .../32-libreadline-dev_8.0-1_ppc64el.deb ... Unpacking libreadline-dev:ppc64el (8.0-1) ... Selecting previously unselected package libtcl8.6:ppc64el. Preparing to unpack .../33-libtcl8.6_8.6.9+dfsg-1_ppc64el.deb ... Unpacking libtcl8.6:ppc64el (8.6.9+dfsg-1) ... Selecting previously unselected package pkg-config. Preparing to unpack .../34-pkg-config_0.29.1-0ubuntu2_ppc64el.deb ... Unpacking pkg-config (0.29.1-0ubuntu2) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../35-tcl8.6_8.6.9+dfsg-1_ppc64el.deb ... Unpacking tcl8.6 (8.6.9+dfsg-1) ... Selecting previously unselected package tcl. Preparing to unpack .../36-tcl_8.6.0+9_ppc64el.deb ... Unpacking tcl (8.6.0+9) ... Selecting previously unselected package zlib1g-dev:ppc64el. Preparing to unpack .../37-zlib1g-dev_1%3a1.2.11.dfsg-0ubuntu2_ppc64el.deb ... Unpacking zlib1g-dev:ppc64el (1:1.2.11.dfsg-0ubuntu2) ... Selecting previously unselected package tcl8.6-dev:ppc64el. Preparing to unpack .../38-tcl8.6-dev_8.6.9+dfsg-1_ppc64el.deb ... Unpacking tcl8.6-dev:ppc64el (8.6.9+dfsg-1) ... Selecting previously unselected package tcl-dev:ppc64el. Preparing to unpack .../39-tcl-dev_8.6.0+9_ppc64el.deb ... Unpacking tcl-dev:ppc64el (8.6.0+9) ... Selecting previously unselected package txt2man. Preparing to unpack .../40-txt2man_1.6.0-4_all.deb ... Unpacking txt2man (1.6.0-4) ... Selecting previously unselected package libffi-dev:ppc64el. Preparing to unpack .../41-libffi-dev_3.2.1-9_ppc64el.deb ... Unpacking libffi-dev:ppc64el (3.2.1-9) ... Selecting previously unselected package sbuild-build-depends-yosys-dummy. Preparing to unpack .../42-sbuild-build-depends-yosys-dummy_0.invalid.0_ppc64el.deb ... Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ... Setting up berkeley-abc (1.01+20181130git163bba5+dfsg-1build1) ... Setting up libarchive-zip-perl (1.64-1) ... Setting up mime-support (3.60ubuntu1) ... Setting up libicu63:ppc64el (63.1-5) ... Setting up libelf1:ppc64el (0.175-2) ... Setting up libglib2.0-0:ppc64el (2.58.1-2) ... No schema files found: doing nothing. Setting up libffi-dev:ppc64el (3.2.1-9) ... Setting up gettext-base (0.19.8.1-9) ... Setting up iverilog (10.2-1.1build1) ... Setting up libpipeline1:ppc64el (1.5.0-2) ... Setting up m4 (1.4.18-2) ... Setting up gawk (1:4.2.1+dfsg-1) ... Setting up libbsd0:ppc64el (0.9.1-1) ... Setting up libxml2:ppc64el (2.9.4+dfsg1-7ubuntu3) ... Setting up libuchardet0:ppc64el (0.0.6-3) ... Setting up libmagic-mgc (1:5.35-2) ... Setting up libmagic1:ppc64el (1:5.35-2) ... Setting up libcroco3:ppc64el (0.6.12-3) ... Setting up pkg-config (0.29.1-0ubuntu2) ... Processing triggers for libc-bin (2.28-0ubuntu1) ... Setting up dwz (0.12-3) ... Setting up autotools-dev (20180224.1) ... Setting up libbison-dev:ppc64el (2:3.2.4.dfsg-1) ... Setting up libtcl8.6:ppc64el (8.6.9+dfsg-1) ... Setting up bison (2:3.2.4.dfsg-1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up bsdmainutils (11.1.2ubuntu2) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode Setting up libncurses-dev:ppc64el (6.1+20180210-4ubuntu1) ... Setting up autopoint (0.19.8.1-9) ... Setting up libmpdec2:ppc64el (2.4.2-2) ... Setting up zlib1g-dev:ppc64el (1:1.2.11.dfsg-0ubuntu2) ... Setting up libfile-stripnondeterminism-perl (1.1.0-1) ... Setting up libpython3.7-stdlib:ppc64el (3.7.2-1build1) ... Setting up txt2man (1.6.0-4) ... Setting up gettext (0.19.8.1-9) ... Setting up flex (2.6.4-6.2) ... Setting up groff-base (1.22.4-2) ... Setting up python3.7 (3.7.2-1build1) ... Setting up autoconf (2.69-11) ... Setting up file (1:5.35-2) ... Setting up intltool-debian (0.35.0+20060710.4) ... Setting up tcl8.6 (8.6.9+dfsg-1) ... Setting up automake (1:1.16.1-4) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libreadline-dev:ppc64el (8.0-1) ... Setting up man-db (2.8.5-1) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /lib/systemd/system/man-db.timer. Setting up tcl8.6-dev:ppc64el (8.6.9+dfsg-1) ... Setting up libtool (2.4.6-7ubuntu1) ... Setting up libpython3-stdlib:ppc64el (3.7.1-1ubuntu1) ... Setting up po-debconf (1.0.21) ... Setting up python3 (3.7.1-1ubuntu1) ... Setting up tcl (8.6.0+9) ... Setting up python3-lib2to3 (3.7.2-2) ... Setting up tcl-dev:ppc64el (8.6.0+9) ... Setting up python3-distutils (3.7.2-2) ... Setting up dh-python (3.20180927ubuntu2) ... Setting up dh-strip-nondeterminism (1.1.0-1) ... Setting up debhelper (12ubuntu1) ... Setting up dh-autoreconf (19) ... Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ... Processing triggers for libc-bin (2.28-0ubuntu1) ... +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 4.4.0-141-generic ppc64el (ppc64le) Toolchain package versions: binutils_2.31.1-11ubuntu1 dpkg-dev_1.19.2ubuntu2 g++-8_8.2.0-14ubuntu1 gcc-8_8.2.0-14ubuntu1 libc6-dev_2.28-0ubuntu1 libstdc++-8-dev_8.2.0-14ubuntu1 libstdc++6_8.2.0-14ubuntu1 linux-libc-dev_4.19.0-9.10 Package versions: adduser_3.117ubuntu1 advancecomp_2.1-1 apt_1.8.0~alpha3 autoconf_2.69-11 automake_1:1.16.1-4 autopoint_0.19.8.1-9 autotools-dev_20180224.1 base-files_10.1ubuntu8 base-passwd_3.5.45 bash_5.0-1ubuntu1 berkeley-abc_1.01+20181130git163bba5+dfsg-1build1 binutils_2.31.1-11ubuntu1 binutils-common_2.31.1-11ubuntu1 binutils-powerpc64le-linux-gnu_2.31.1-11ubuntu1 bison_2:3.2.4.dfsg-1 bsdmainutils_11.1.2ubuntu2 bsdutils_1:2.33.1-0.1ubuntu1 build-essential_12.5ubuntu2 bzip2_1.0.6-9 ca-certificates_20180409 coreutils_8.30-1ubuntu1 cpp_4:8.2.0-2ubuntu2 cpp-8_8.2.0-14ubuntu1 dash_0.5.10.2-4ubuntu1 debconf_1.5.69 debhelper_12ubuntu1 debianutils_4.8.6 dh-autoreconf_19 dh-python_3.20180927ubuntu2 dh-strip-nondeterminism_1.1.0-1 diffutils_1:3.6-1 dmsetup_2:1.02.145-4.1ubuntu4 dpkg_1.19.2ubuntu2 dpkg-dev_1.19.2ubuntu2 dwz_0.12-3 e2fslibs_1.44.5-1 e2fsprogs_1.44.5-1 fakeroot_1.23-1 fdisk_2.33.1-0.1ubuntu1 file_1:5.35-2 findutils_4.6.0+git+20181018-1 flex_2.6.4-6.2 g++_4:8.2.0-2ubuntu2 g++-8_8.2.0-14ubuntu1 gawk_1:4.2.1+dfsg-1 gcc_4:8.2.0-2ubuntu2 gcc-8_8.2.0-14ubuntu1 gcc-8-base_8.2.0-14ubuntu1 gettext_0.19.8.1-9 gettext-base_0.19.8.1-9 gpg_2.2.12-1ubuntu2 gpg-agent_2.2.12-1ubuntu2 gpgconf_2.2.12-1ubuntu2 gpgv_2.2.12-1ubuntu2 grep_3.3-1 groff-base_1.22.4-2 gzip_1.9-3 hostname_3.21 init_1.56+nmu1 init-system-helpers_1.56+nmu1 initscripts_2.88dsf-59.3ubuntu2 insserv_1.14.0-5ubuntu3 intltool-debian_0.35.0+20060710.4 iverilog_10.2-1.1build1 libacl1_2.2.52-3build1 libapparmor1_2.12-4ubuntu10 libapt-pkg5.0_1.8.0~alpha3 libarchive-zip-perl_1.64-1 libargon2-1_0~20171227-0.2 libasan5_8.2.0-14ubuntu1 libassuan0_2.5.2-1 libatomic1_8.2.0-14ubuntu1 libattr1_1:2.4.47-2build1 libaudit-common_1:2.8.3-1ubuntu3 libaudit1_1:2.8.3-1ubuntu3 libbinutils_2.31.1-11ubuntu1 libbison-dev_2:3.2.4.dfsg-1 libblkid1_2.33.1-0.1ubuntu1 libbsd0_0.9.1-1 libbz2-1.0_1.0.6-9 libc-bin_2.28-0ubuntu1 libc-dev-bin_2.28-0ubuntu1 libc6_2.28-0ubuntu1 libc6-dev_2.28-0ubuntu1 libcap-ng0_0.7.9-2 libcap2_1:2.25-1.2 libcc1-0_8.2.0-14ubuntu1 libcom-err2_1.44.5-1 libcomerr2_1.44.5-1 libcroco3_0.6.12-3 libcryptsetup12_2:2.0.4-2ubuntu2 libdb5.3_5.3.28+dfsg1-0.2 libdebconfclient0_0.244ubuntu1 libdevmapper1.02.1_2:1.02.145-4.1ubuntu4 libdpkg-perl_1.19.2ubuntu2 libelf1_0.175-2 libexpat1_2.2.6-1 libext2fs2_1.44.5-1 libfakeroot_1.23-1 libfdisk1_2.33.1-0.1ubuntu1 libffi-dev_3.2.1-9 libffi6_3.2.1-9 libfile-stripnondeterminism-perl_1.1.0-1 libgcc-8-dev_8.2.0-14ubuntu1 libgcc1_1:8.2.0-14ubuntu1 libgcrypt20_1.8.4-3ubuntu1 libgdbm-compat4_1.18.1-2build1 libgdbm6_1.18.1-2build1 libglib2.0-0_2.58.1-2 libgmp10_2:6.1.2+dfsg-4 libgnutls30_3.6.5-2ubuntu1 libgomp1_8.2.0-14ubuntu1 libgpg-error0_1.33-3 libhogweed4_3.4.1~rc1-1 libicu63_63.1-5 libidn11_1.33-2.2ubuntu1 libidn2-0_2.0.5-1 libip4tc0_1.6.1-2ubuntu3 libisl19_0.20-2 libitm1_8.2.0-14ubuntu1 libjson-c3_0.12.1-1.3 libkmod2_25-1ubuntu2 liblockfile-bin_1.14-1.1 liblockfile1_1.14-1.1 liblsan0_8.2.0-14ubuntu1 liblz4-1_1.8.3-1ubuntu1 liblzma5_5.2.2-1.3 libmagic-mgc_1:5.35-2 libmagic1_1:5.35-2 libmount1_2.33.1-0.1ubuntu1 libmpc3_1.1.0-1 libmpdec2_2.4.2-2 libmpfr6_4.0.2~rc1-1 libncurses-dev_6.1+20180210-4ubuntu1 libncurses6_6.1+20180210-4ubuntu1 libncursesw6_6.1+20180210-4ubuntu1 libnettle6_3.4.1~rc1-1 libnpth0_1.6-1 libp11-kit0_0.23.14-2 libpam-modules_1.1.8-3.6ubuntu2 libpam-modules-bin_1.1.8-3.6ubuntu2 libpam-runtime_1.1.8-3.6ubuntu2 libpam0g_1.1.8-3.6ubuntu2 libpcre3_2:8.39-11 libperl5.28_5.28.1-3 libpipeline1_1.5.0-2 libpng16-16_1.6.36-3 libprocps7_2:3.3.15-2ubuntu1 libpython3-stdlib_3.7.1-1ubuntu1 libpython3.7-minimal_3.7.2-1build1 libpython3.7-stdlib_3.7.2-1build1 libquadmath0_8.2.0-14ubuntu1 libreadline-dev_8.0-1 libreadline7_7.0-5 libreadline8_8.0-1 libseccomp2_2.3.3-3ubuntu2 libselinux1_2.8-1build2 libsemanage-common_2.8-2 libsemanage1_2.8-2 libsepol1_2.8-1 libsigsegv2_2.12-2 libslang2_2.3.2-1ubuntu1 libsmartcols1_2.33.1-0.1ubuntu1 libsqlite3-0_3.26.0+fossilbc891ac6b-1build1 libss2_1.44.5-1 libssl1.1_1.1.1a-1ubuntu2 libstdc++-8-dev_8.2.0-14ubuntu1 libstdc++6_8.2.0-14ubuntu1 libsystemd0_239-7ubuntu15 libtasn1-6_4.13-3 libtcl8.6_8.6.9+dfsg-1 libtinfo6_6.1+20180210-4ubuntu1 libtool_2.4.6-7ubuntu1 libtsan0_8.2.0-14ubuntu1 libubsan1_8.2.0-14ubuntu1 libuchardet0_0.0.6-3 libudev1_239-7ubuntu15 libunistring2_0.9.10-1ubuntu1 libusb-0.1-4_2:0.1.12-32 libustr-1.0-1_1.0.4-6 libuuid1_2.33.1-0.1ubuntu1 libxml2_2.9.4+dfsg1-7ubuntu3 libzstd1_1.3.8+dfsg-3 linux-libc-dev_4.19.0-9.10 lockfile-progs_0.1.18 login_1:4.5-1ubuntu1 lsb-base_9.20170808ubuntu1 m4_1.4.18-2 make_4.2.1-1.2 man-db_2.8.5-1 mawk_1.3.3-17ubuntu3 mime-support_3.60ubuntu1 mount_2.33.1-0.1ubuntu1 multiarch-support_2.28-0ubuntu1 ncurses-base_6.1+20180210-4ubuntu1 ncurses-bin_6.1+20180210-4ubuntu1 openssl_1.1.1a-1ubuntu2 optipng_0.7.6-1.1 passwd_1:4.5-1ubuntu1 patch_2.7.6-3 perl_5.28.1-3 perl-base_5.28.1-3 perl-modules-5.28_5.28.1-3 pinentry-curses_1.1.0-1build2 pkg-config_0.29.1-0ubuntu2 pkgbinarymangler_143 po-debconf_1.0.21 policyrcd-script-zg2_0.1-3 procps_2:3.3.15-2ubuntu1 python3_3.7.1-1ubuntu1 python3-distutils_3.7.2-2 python3-lib2to3_3.7.2-2 python3-minimal_3.7.1-1ubuntu1 python3.7_3.7.2-1build1 python3.7-minimal_3.7.2-1build1 readline-common_8.0-1 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.7-1 sensible-utils_0.0.12 systemd_239-7ubuntu15 systemd-sysv_239-7ubuntu15 sysv-rc_2.88dsf-59.3ubuntu2 sysvinit-utils_2.88dsf-59.10ubuntu2 tar_1.30+dfsg-4 tcl_8.6.0+9 tcl-dev_8.6.0+9 tcl8.6_8.6.9+dfsg-1 tcl8.6-dev_8.6.9+dfsg-1 txt2man_1.6.0-4 tzdata_2018i-1 ubuntu-keyring_2018.09.18.1 util-linux_2.32-0.1ubuntu2 xz-utils_5.2.2-1.3 zlib1g_1:1.2.11.dfsg-0ubuntu2 zlib1g-dev_1:1.2.11.dfsg-0ubuntu2 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- gpgv: Signature made Tue Jan 15 11:03:39 2019 UTC gpgv: using RSA key D56571B88A8BBAF140BF63D6BD7EAA60778FA6F5 gpgv: issuer "doko@ubuntu.com" gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./yosys_0.8-1build1.dsc dpkg-source: info: extracting yosys in yosys-0.8 dpkg-source: info: unpacking yosys_0.8.orig.tar.gz dpkg-source: info: unpacking yosys_0.8-1build1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying 01_gitrevision.patch dpkg-source: info: applying 02_removeabc.patch dpkg-source: info: applying 05_abc_executable.patch dpkg-source: info: applying switch-to-free-font.patch dpkg-source: info: applying manual-build.patch dpkg-source: info: applying kfreebsd-support.patch dpkg-source: info: applying 0007-Disable-pretty-build.patch dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch dpkg-source: info: applying 0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch dpkg-source: info: applying 0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch dpkg-source: info: applying 0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch Check disc space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-16281232 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-16281232 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-16281232 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.8-1build1 dpkg-buildpackage: info: source distribution disco dpkg-source --before-build . dpkg-buildpackage: info: host architecture ppc64el fakeroot debian/rules clean PREFIX=/usr dh clean --with=python3 debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' dh_auto_clean make -j4 clean make[2]: Entering directory '/<>' rm -rf share if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f rm -f kernel/version_5706e90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/smt2/smt2.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/gowin/synth_gowin.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/lut2lut.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/arith_map.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/gowin/cells_map.v share/gowin/cells_sim.v passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/tools/cmp_tbdata make[2]: Leaving directory '/<>' rm -f debian/man/*.1 rm -f Makefile.conf make[1]: Leaving directory '/<>' dh_clean debian/rules build-arch PREFIX=/usr dh build-arch --with=python3 dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' make config-gcc make[2]: Entering directory '/<>' rm -rf share if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f rm -f kernel/version_5706e90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/smt2/smt2.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/gowin/synth_gowin.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/lut2lut.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/arith_map.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/gowin/cells_map.v share/gowin/cells_sim.v passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/tools/cmp_tbdata echo 'CONFIG := gcc' > Makefile.conf make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/<>' dh_auto_build --parallel -- all make -j4 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.8 (git sha1 5706e90)\"; }" > kernel/version_5706e90.cc gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:5: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:48: required from ‘void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SolverTypes.h:338:37: required from ‘void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SimpSolver.cc:92:28: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here class vec { ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:5: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:48: required from ‘void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]’ libs/minisat/SolverTypes.h:338:37: required from ‘void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’ libs/minisat/Solver.cc:134:35: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here class vec { ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p frontends/ilang/ bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc frontends/verific/verific.cc:1679:6: warning: ‘bool {anonymous}::check_noverific_env()’ defined but not used [-Wunused-function] bool check_noverific_env() ^~~~~~~~~~~~~~~~~~~ mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/techmap/ echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new echo "0};" >> passes/techmap/techmap.inc.new mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffsr2dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffs.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_rmdff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc backends/firrtl/firrtl.cc: In member function ‘void {anonymous}::FirrtlWorker::process_instance(Yosys::RTLIL::Cell*, std::vector >&)’: backends/firrtl/firrtl.cc:197:18: warning: this statement may fall through [-Wimplicit-fallthrough=] log_warning("Instance port connection %s.%s is INOUT; treating as OUT\n", log_id(cell_type), log_signal(it->second)); ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ backends/firrtl/firrtl.cc:198:6: note: here case FD_OUT: ^~~~ backends/firrtl/firrtl.cc:203:18: warning: this statement may fall through [-Wimplicit-fallthrough=] log_warning("Instance port connection %s.%s is NODIRECTION; treating as IN\n", log_id(cell_type), log_signal(it->second)); ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ backends/firrtl/firrtl.cc:205:6: note: here case FD_IN: ^~~~ mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/ilang/ gcc -o backends/ilang/ilang_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffssr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc sed -e 's#@CXXFLAGS@#-g -O3 -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/backends/ilang/ cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut2lut.v share/xilinx/lut2lut.v mkdir -p techlibs/xilinx python3 techlibs/xilinx/brams_init.py touch techlibs/xilinx/brams_init.mk mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p techlibs/ice40 python3 techlibs/ice40/brams_init.py touch techlibs/ice40/brams_init.mk mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/achronix/speedster22i/ mkdir -p share/gowin cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin mkdir -p kernel/ cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v gcc -o kernel/version_5706e90.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_5706e90.cc mkdir -p kernel/ gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -L/usr/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 mkdir -p share/xilinx cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh gcc -o yosys -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -L/usr/lib -rdynamic kernel/version_5706e90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/smt2/smt2.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/common/prep.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/gowin/synth_gowin.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 Build successful. make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' dh_auto_test -a make -j4 test make[1]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "" make[2]: Entering directory '/<>/tests/simple' Test: always01 -> ok Test: always03 -> ok Test: always02 -> ok Test: aes_kexp128 -> ok Test: arrays01 -> ok Test: arraycells -> ok Test: carryadd -> ok Test: constpower -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: fsm -> ok Test: dff_different_styles -> ok Test: constmuldivmod -> ok Test: hierarchy -> ok Test: graphtest -> ok Test: generate -> ok Test: i2c_master_tests -> ok Test: hierdefparam -> ok Test: loops -> ok Test: macros -> ok Test: mem_arst -> ok Test: mem2reg -> ok Test: muxtree -> ok Test: omsp_dbg_uart -> ok Test: multiplier -> ok Test: paramods -> ok Test: process -> ok Test: realexpr -> ok Test: partsel -> ok Test: repwhile -> ok Test: scopes -> ok Test: signedexpr -> ok Test: sincos -> ok Test: specify -> ok Test: subbytes -> ok Test: operators -> ok Test: undef_eqx_nex -> ok Test: task_func -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: vloghammer -> ok Test: wreduce -> ok Test: memory -> ok Test: rotate -> ok make[2]: Leaving directory '/<>/tests/simple' cd tests/hana && bash run-test.sh "" make[2]: Entering directory '/<>/tests/hana' Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_buffer -> ok Test: test_simulation_and -> ok Test: test_simulation_always -> ok Test: test_simulation_inc -> ok Test: test_simulation_nand -> ok Test: test_simulation_mux -> ok Test: test_simulation_nor -> ok Test: test_simulation_or -> ok Test: test_simulation_seq -> ok Test: test_simulation_decoder -> ok Test: test_intermout -> ok Test: test_simulation_sop -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok Test: test_simulation_techmap -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_shifter -> ok make[2]: Leaving directory '/<>/tests/hana' cd tests/asicworld && bash run-test.sh "" make[2]: Entering directory '/<>/tests/asicworld' Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_misc1 -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux21_switch -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_nand_switch -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_t_gate_switch -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_hdl_models_uart -> ok Test: code_tidbits_asyn_reset -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_blocking -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_which_clock -> ok Test: code_hdl_models_cam -> ok make[2]: Leaving directory '/<>/tests/asicworld' #+cd tests/realmath && bash run-test.sh "" cd tests/share && bash run-test.sh "" generating tests.. running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/fsm && bash run-test.sh "" generating tests.. running tests.. make[2]: Entering directory '/<>/tests/fsm' [0][1][2][3]K[4]K[5]K[6]K[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]T[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]T[84]K[85]K[86]K[87]K[88]K[89]K[90]K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]KKKK make[2]: Leaving directory '/<>/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. cd tests/memories && bash run-test.sh "" Test: amber23_sram_byte_en -> ok Test: implicit_en -> ok Test: no_implicit_en -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "" generating tests.. PRNG seed: 919521 running tests.. make[2]: Entering directory '/<>/tests/bram' Passed memory_bram test 00_01. Passed memory_bram test 00_02. Passed memory_bram test 00_03. Passed memory_bram test 00_04. Passed memory_bram test 01_04. Passed memory_bram test 01_02. Passed memory_bram test 01_03. Passed memory_bram test 02_03. Passed memory_bram test 01_00. Passed memory_bram test 02_01. Passed memory_bram test 02_00. Passed memory_bram test 02_04. Passed memory_bram test 03_02. Passed memory_bram test 03_01. Passed memory_bram test 03_04. Passed memory_bram test 03_00. Passed memory_bram test 04_01. Passed memory_bram test 04_03. Passed memory_bram test 04_00. Passed memory_bram test 04_02. make[2]: Leaving directory '/<>/tests/bram' cd tests/various && bash run-test.sh Running constmsk_test.ys.. constmsk_testmap.v:45: Warning: Range select out of bounds on signal `\tmp': Setting 1 MSB bits to undef. Running muxcover.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/simcells.v:437) Running reg_wire_error.ys.. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29. Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35. Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62. Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69. Warning: Blocking assignment to memory in line reg_wire_error.sv:61 is handled like a non-blocking assignment. Warning: Blocking assignment to memory in line reg_wire_error.sv:63 is handled like a non-blocking assignment. Running submod_extract.ys.. cd tests/sat && bash run-test.sh Running asserts.ys.. Running asserts_seq.ys.. Running counters.ys.. Running expose_dff.ys.. Running initval.ys.. Warning: ignoring initial value on non-register: \bar [1:0] Running share.ys.. Running sizebits.ys.. Running splice.ys.. Passed "make test". make[1]: Leaving directory '/<>' create-stamp debian/debhelper-build-stamp fakeroot debian/rules binary-arch PREFIX=/usr dh binary-arch --with=python3 dh_testroot -a dh_prep -a debian/rules override_dh_auto_install make[1]: Entering directory '/<>' dh_auto_install make -j4 install DESTDIR=/<>/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc mkdir -p /<>/debian/tmp/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc /<>/debian/tmp/usr/bin mkdir -p /<>/debian/tmp/usr/share/yosys cp -r share/. /<>/debian/tmp/usr/share/yosys/. make[2]: Leaving directory '/<>' chmod a-x debian/tmp/usr/share/yosys/achronix/speedster22i/cells*.v make[1]: Leaving directory '/<>' debian/rules override_dh_install make[1]: Entering directory '/<>' dh_install --fail-missing dh_install: Please use dh_missing --list-missing/--fail-missing instead dh_install: This feature will be removed in compat 12. make[1]: Leaving directory '/<>' dh_installdocs -a dh_installchangelogs -a debian/rules override_dh_installman make[1]: Entering directory '/<>' cd debian/man ; CHANGELOG_DATE="15 January 2019" ./genmanpages.sh dh_installman make[1]: Leaving directory '/<>' dh_python3 -a I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a debian/rules override_dh_compress make[1]: Entering directory '/<>' dh_compress --exclude=.pdf make[1]: Leaving directory '/<>' dh_fixperms -a dh_missing -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb -a dh_gencontrol -a dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined dh_md5sums -a dh_builddeb -a INFO: pkgstriptranslations version 143 INFO: pkgstriptranslations version 143 INFO: pkgstriptranslations version 143 pkgstriptranslations: processing yosys-dev (in debian/yosys-dev); do_strip: , oemstrip: pkgstriptranslations: processing yosys (in debian/yosys); do_strip: , oemstrip: pkgstriptranslations: processing yosys-dbgsym (in debian/.debhelper/yosys/dbgsym-root); do_strip: , oemstrip: pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/yosys-dev/DEBIAN/control, package yosys-dev, directory debian/yosys-dev INFO: pkgstripfiles: waiting for lock (yosys-dev) ... pkgstripfiles: processing control file: debian/.debhelper/yosys/dbgsym-root/DEBIAN/control, package yosys-dbgsym, directory debian/.debhelper/yosys/dbgsym-root dpkg-deb: building package 'yosys-dbgsym' in 'debian/.debhelper/scratch-space/build-yosys/yosys-dbgsym_0.8-1build1_ppc64el.deb'. INFO: pkgstripfiles: waiting for lock (yosys-dev) ... INFO: pkgstripfiles: waiting for lock (yosys-dev) ... INFO: pkgstripfiles: waiting for lock (yosys-dev) ... INFO: pkgstripfiles: waiting for lock (yosys-dev) ... pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/yosys/DEBIAN/control, package yosys, directory debian/yosys pkgstripfiles: Truncating usr/share/doc/yosys/changelog.Debian.gz to topmost ten records INFO: pkgstripfiles: waiting for lock (yosys-dev) ... pkgstripfiles: Running PNG optimization (using 4 cpus) for package yosys ... pkgstripfiles: No PNG files. dpkg-deb: building package 'yosys' in '../yosys_0.8-1build1_ppc64el.deb'. pkgstripfiles: Truncating usr/share/doc/yosys-dev/changelog.Debian.gz to topmost ten records pkgstripfiles: Running PNG optimization (using 4 cpus) for package yosys-dev ... pkgstripfiles: No PNG files. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.8-1build1_ppc64el.deb'. Renaming yosys-dbgsym_0.8-1build1_ppc64el.deb to yosys-dbgsym_0.8-1build1_ppc64el.ddeb dpkg-genbuildinfo --build=any dpkg-genchanges --build=any -mLaunchpad Build Daemon >../yosys_0.8-1build1_ppc64el.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 20190115-1118 Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Post Build Chroot | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ yosys_0.8-1build1_ppc64el.changes: ---------------------------------- Format: 1.8 Date: Tue, 15 Jan 2019 10:26:21 +0000 Source: yosys Binary: yosys yosys-dev yosys-doc Architecture: ppc64el Version: 0.8-1build1 Distribution: disco-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Matthias Klose Description: yosys - Framework for Verilog RTL synthesis yosys-dev - Framework for Verilog RTL synthesis (development files) yosys-doc - Documentation for Yosys Changes: yosys (0.8-1build1) disco; urgency=medium . * No-change rebuild for readline soname change. Checksums-Sha1: 9ee4b9d74abe7307f5d7349e8dd1ed8b8ad170b5 55472016 yosys-dbgsym_0.8-1build1_ppc64el.ddeb e87a820b330c833c9531943c84d8ec451db6c3ef 48428 yosys-dev_0.8-1build1_ppc64el.deb 9f06e133fb771f4c181018df63cec923810a830b 6352 yosys_0.8-1build1_ppc64el.buildinfo cb68278091cb18cc26827d4ed77f681f2a5b10c7 1757360 yosys_0.8-1build1_ppc64el.deb Checksums-Sha256: 911d991699e8b0efb216c5685df2d770456aa92174d667d130c6fae00a4d21cd 55472016 yosys-dbgsym_0.8-1build1_ppc64el.ddeb f9a1ed504d246326357a912f04daa83e95da8b5f6b5117f57a9969fbe01a4e35 48428 yosys-dev_0.8-1build1_ppc64el.deb 49fdbcb37547c1c9f08cbcee205ad49c687d7dbf7bceea940cfd99285896465d 6352 yosys_0.8-1build1_ppc64el.buildinfo 9202774c15ccd1a59abfd091a10279ae8e80a01808ea8a788290471b0532f45d 1757360 yosys_0.8-1build1_ppc64el.deb Files: ac3cec8db09c668e7d5fd5f7352aabea 55472016 debug optional yosys-dbgsym_0.8-1build1_ppc64el.ddeb 75387c3d6af0a51a595e3dbbc021f124 48428 electronics optional yosys-dev_0.8-1build1_ppc64el.deb 4913ab9a9e3a411704c36c0a8c72c5da 6352 electronics optional yosys_0.8-1build1_ppc64el.buildinfo c4c84929c610ec81e04453b96b117f58 1757360 electronics optional yosys_0.8-1build1_ppc64el.deb +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ yosys-dev_0.8-1build1_ppc64el.deb --------------------------------- new debian package, version 2.0. size 48428 bytes: control archive=1464 bytes. 881 bytes, 20 lines control 1721 bytes, 23 lines md5sums Package: yosys-dev Source: yosys Version: 0.8-1build1 Architecture: ppc64el Maintainer: Ubuntu Developers Original-Maintainer: Debian Science Maintainers Installed-Size: 303 Section: electronics Priority: optional Homepage: http://www.clifford.at/yosys Description: Framework for Verilog RTL synthesis (development files) Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . This package contains the headers and programs needed to build yosys plugins. drwxr-xr-x root/root 0 2019-01-15 10:26 ./ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/bin/ -rwxr-xr-x root/root 3428 2019-01-15 10:26 ./usr/bin/yosys-config drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/doc/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/doc/yosys-dev/ -rw-r--r-- root/root 1889 2019-01-15 10:26 ./usr/share/doc/yosys-dev/changelog.Debian.gz -rw-r--r-- root/root 6526 2018-10-17 16:36 ./usr/share/doc/yosys-dev/copyright drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/man/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/man/man1/ -rw-r--r-- root/root 898 2019-01-15 10:26 ./usr/share/man/man1/yosys-config.1.gz drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/backends/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/backends/ilang/ -rw-r--r-- root/root 2445 2019-01-15 10:26 ./usr/share/yosys/include/backends/ilang/ilang_backend.h drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/frontends/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/frontends/ast/ -rw-r--r-- root/root 10633 2019-01-15 10:26 ./usr/share/yosys/include/frontends/ast/ast.h drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/kernel/ -rw-r--r-- root/root 2220 2019-01-15 10:26 ./usr/share/yosys/include/kernel/celledges.h -rw-r--r-- root/root 14486 2019-01-15 10:26 ./usr/share/yosys/include/kernel/celltypes.h -rw-r--r-- root/root 10023 2019-01-15 10:26 ./usr/share/yosys/include/kernel/consteval.h -rw-r--r-- root/root 24651 2019-01-15 10:26 ./usr/share/yosys/include/kernel/hashlib.h -rw-r--r-- root/root 10630 2019-01-15 10:26 ./usr/share/yosys/include/kernel/log.h -rw-r--r-- root/root 7011 2019-01-15 10:26 ./usr/share/yosys/include/kernel/macc.h -rw-r--r-- root/root 14492 2019-01-15 10:26 ./usr/share/yosys/include/kernel/modtools.h -rw-r--r-- root/root 5132 2019-01-15 10:26 ./usr/share/yosys/include/kernel/register.h -rw-r--r-- root/root 59348 2019-01-15 10:26 ./usr/share/yosys/include/kernel/rtlil.h -rw-r--r-- root/root 53368 2019-01-15 10:26 ./usr/share/yosys/include/kernel/satgen.h -rw-r--r-- root/root 6625 2019-01-15 10:26 ./usr/share/yosys/include/kernel/sigtools.h -rw-r--r-- root/root 5030 2019-01-15 10:26 ./usr/share/yosys/include/kernel/utils.h -rw-r--r-- root/root 10051 2019-01-15 10:26 ./usr/share/yosys/include/kernel/yosys.h drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/libs/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/libs/ezsat/ -rw-r--r-- root/root 2094 2019-01-15 10:26 ./usr/share/yosys/include/libs/ezsat/ezminisat.h -rw-r--r-- root/root 14521 2019-01-15 10:26 ./usr/share/yosys/include/libs/ezsat/ezsat.h drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/libs/sha1/ -rw-r--r-- root/root 1370 2019-01-15 10:26 ./usr/share/yosys/include/libs/sha1/sha1.h drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/passes/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/include/passes/fsm/ -rw-r--r-- root/root 6737 2019-01-15 10:26 ./usr/share/yosys/include/passes/fsm/fsmdata.h yosys_0.8-1build1_ppc64el.deb ----------------------------- new debian package, version 2.0. size 1757360 bytes: control archive=3268 bytes. 958 bytes, 18 lines control 5618 bytes, 80 lines md5sums 268 bytes, 12 lines * postinst #!/bin/sh 385 bytes, 12 lines * prerm #!/bin/sh Package: yosys Version: 0.8-1build1 Architecture: ppc64el Maintainer: Ubuntu Developers Original-Maintainer: Debian Science Maintainers Installed-Size: 7427 Depends: libc6 (>= 2.27), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.0), libreadline8 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), python3:any, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), xdot Section: electronics Priority: optional Homepage: http://www.clifford.at/yosys Description: Framework for Verilog RTL synthesis This is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. drwxr-xr-x root/root 0 2019-01-15 10:26 ./ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/bin/ -rwxr-xr-x root/root 6510064 2019-01-15 10:26 ./usr/bin/yosys lrwxrwxrwx root/root 0 2019-01-15 10:26 ./usr/bin/yosys-abc -> berkeley-abc -rwxr-xr-x root/root 67680 2019-01-15 10:26 ./usr/bin/yosys-filterlib -rwxr-xr-x root/root 50244 2019-01-15 10:26 ./usr/bin/yosys-smtbmc drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/doc/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/doc/yosys/ -rw-r--r-- root/root 6905 2018-10-16 14:22 ./usr/share/doc/yosys/README.md.gz -rw-r--r-- root/root 1887 2019-01-15 10:26 ./usr/share/doc/yosys/changelog.Debian.gz -rw-r--r-- root/root 6526 2018-10-17 16:36 ./usr/share/doc/yosys/copyright drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/lintian/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 125 2018-10-17 16:36 ./usr/share/lintian/overrides/yosys drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/man/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/man/man1/ -rw-r--r-- root/root 560 2019-01-15 10:26 ./usr/share/man/man1/yosys-abc.1.gz -rw-r--r-- root/root 963 2019-01-15 10:26 ./usr/share/man/man1/yosys-filterlib.1.gz -rw-r--r-- root/root 654 2019-01-15 10:26 ./usr/share/man/man1/yosys-smtbmc.1.gz -rw-r--r-- root/root 1785 2019-01-15 10:26 ./usr/share/man/man1/yosys.1.gz drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/python3/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/python3/runtime.d/ -rwxr-xr-x root/root 125 2019-01-15 10:26 ./usr/share/python3/runtime.d/yosys.rtupdate drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/achronix/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/achronix/speedster22i/ -rw-r--r-- root/root 2613 2019-01-15 10:26 ./usr/share/yosys/achronix/speedster22i/cells_map.v -rw-r--r-- root/root 2373 2019-01-15 10:26 ./usr/share/yosys/achronix/speedster22i/cells_sim.v -rw-r--r-- root/root 520 2019-01-15 10:26 ./usr/share/yosys/adff2dff.v -rw-r--r-- root/root 2432 2019-01-15 10:26 ./usr/share/yosys/cells.lib drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/coolrunner2/ -rw-r--r-- root/root 367 2019-01-15 10:26 ./usr/share/yosys/coolrunner2/cells_latch.v -rw-r--r-- root/root 5435 2019-01-15 10:26 ./usr/share/yosys/coolrunner2/cells_sim.v -rw-r--r-- root/root 465 2019-01-15 10:26 ./usr/share/yosys/coolrunner2/tff_extract.v -rw-r--r-- root/root 839 2019-01-15 10:26 ./usr/share/yosys/coolrunner2/xc2_dff.lib -rw-r--r-- root/root 259 2019-01-15 10:26 ./usr/share/yosys/dff2ff.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/ecp5/ -rw-r--r-- root/root 2360 2019-01-15 10:26 ./usr/share/yosys/ecp5/arith_map.v -rw-r--r-- root/root 12163 2019-01-15 10:26 ./usr/share/yosys/ecp5/cells_map.v -rw-r--r-- root/root 9683 2019-01-15 10:26 ./usr/share/yosys/ecp5/cells_sim.v -rw-r--r-- root/root 199 2019-01-15 10:26 ./usr/share/yosys/ecp5/dram.txt -rw-r--r-- root/root 491 2019-01-15 10:26 ./usr/share/yosys/ecp5/drams_map.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/gowin/ -rw-r--r-- root/root 889 2019-01-15 10:26 ./usr/share/yosys/gowin/cells_map.v -rw-r--r-- root/root 1282 2019-01-15 10:26 ./usr/share/yosys/gowin/cells_sim.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/greenpak4/ -rw-r--r-- root/root 365 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_blackbox.v -rw-r--r-- root/root 248 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_latch.v -rw-r--r-- root/root 5303 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_map.v -rw-r--r-- root/root 105 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_sim.v -rw-r--r-- root/root 2072 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_sim_ams.v -rw-r--r-- root/root 15006 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_sim_digital.v -rw-r--r-- root/root 2926 2019-01-15 10:26 ./usr/share/yosys/greenpak4/cells_sim_wip.v -rw-r--r-- root/root 998 2019-01-15 10:26 ./usr/share/yosys/greenpak4/gp_dff.lib drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/ice40/ -rw-r--r-- root/root 2046 2019-01-15 10:26 ./usr/share/yosys/ice40/arith_map.v -rw-r--r-- root/root 534 2019-01-15 10:26 ./usr/share/yosys/ice40/brams.txt -rw-r--r-- root/root 50688 2019-01-15 10:26 ./usr/share/yosys/ice40/brams_init1.vh -rw-r--r-- root/root 50688 2019-01-15 10:26 ./usr/share/yosys/ice40/brams_init2.vh -rw-r--r-- root/root 50688 2019-01-15 10:26 ./usr/share/yosys/ice40/brams_init3.vh -rw-r--r-- root/root 7980 2019-01-15 10:26 ./usr/share/yosys/ice40/brams_map.v -rw-r--r-- root/root 3399 2019-01-15 10:26 ./usr/share/yosys/ice40/cells_map.v -rw-r--r-- root/root 35434 2019-01-15 10:26 ./usr/share/yosys/ice40/cells_sim.v -rw-r--r-- root/root 258 2019-01-15 10:26 ./usr/share/yosys/ice40/latches_map.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/ drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/a10gx/ -rw-r--r-- root/root 2150 2019-01-15 10:26 ./usr/share/yosys/intel/a10gx/cells_map.v -rw-r--r-- root/root 1956 2019-01-15 10:26 ./usr/share/yosys/intel/a10gx/cells_sim.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/common/ -rw-r--r-- root/root 14300 2019-01-15 10:26 ./usr/share/yosys/intel/common/altpll_bb.v -rw-r--r-- root/root 518 2019-01-15 10:26 ./usr/share/yosys/intel/common/brams.txt -rw-r--r-- root/root 4325 2019-01-15 10:26 ./usr/share/yosys/intel/common/brams_map.v -rw-r--r-- root/root 3074 2019-01-15 10:26 ./usr/share/yosys/intel/common/m9k_bb.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/cyclone10/ -rw-r--r-- root/root 5210 2019-01-15 10:26 ./usr/share/yosys/intel/cyclone10/cells_map.v -rw-r--r-- root/root 4371 2019-01-15 10:26 ./usr/share/yosys/intel/cyclone10/cells_sim.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/cycloneiv/ -rw-r--r-- root/root 4070 2019-01-15 10:26 ./usr/share/yosys/intel/cycloneiv/cells_map.v -rw-r--r-- root/root 9688 2019-01-15 10:26 ./usr/share/yosys/intel/cycloneiv/cells_sim.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/cycloneive/ -rw-r--r-- root/root 5205 2019-01-15 10:26 ./usr/share/yosys/intel/cycloneive/cells_map.v -rw-r--r-- root/root 9837 2019-01-15 10:26 ./usr/share/yosys/intel/cycloneive/cells_sim.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/cyclonev/ -rw-r--r-- root/root 6546 2019-01-15 10:26 ./usr/share/yosys/intel/cyclonev/cells_map.v -rw-r--r-- root/root 4703 2019-01-15 10:26 ./usr/share/yosys/intel/cyclonev/cells_sim.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/intel/max10/ -rw-r--r-- root/root 4086 2019-01-15 10:26 ./usr/share/yosys/intel/max10/cells_map.v -rw-r--r-- root/root 9536 2019-01-15 10:26 ./usr/share/yosys/intel/max10/cells_sim.v -rw-r--r-- root/root 342 2019-01-15 10:26 ./usr/share/yosys/pmux2mux.v -rw-r--r-- root/root 31444 2019-01-15 10:26 ./usr/share/yosys/simcells.v -rw-r--r-- root/root 37446 2019-01-15 10:26 ./usr/share/yosys/simlib.v -rw-r--r-- root/root 36795 2019-01-15 10:26 ./usr/share/yosys/smtio.py -rw-r--r-- root/root 11996 2019-01-15 10:26 ./usr/share/yosys/techmap.v drwxr-xr-x root/root 0 2019-01-15 10:26 ./usr/share/yosys/xilinx/ -rw-r--r-- root/root 2327 2019-01-15 10:26 ./usr/share/yosys/xilinx/arith_map.v -rw-r--r-- root/root 1674 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams.txt -rw-r--r-- root/root 22243 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams_bb.v -rw-r--r-- root/root 2048 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams_init_16.vh -rw-r--r-- root/root 81600 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams_init_18.vh -rw-r--r-- root/root 4096 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams_init_32.vh -rw-r--r-- root/root 165200 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams_init_36.vh -rw-r--r-- root/root 8255 2019-01-15 10:26 ./usr/share/yosys/xilinx/brams_map.v -rw-r--r-- root/root 4602 2019-01-15 10:26 ./usr/share/yosys/xilinx/cells_map.v -rw-r--r-- root/root 6078 2019-01-15 10:26 ./usr/share/yosys/xilinx/cells_sim.v -rw-r--r-- root/root 101350 2019-01-15 10:26 ./usr/share/yosys/xilinx/cells_xtra.v -rw-r--r-- root/root 423 2019-01-15 10:26 ./usr/share/yosys/xilinx/drams.txt -rw-r--r-- root/root 1040 2019-01-15 10:26 ./usr/share/yosys/xilinx/drams_map.v -rw-r--r-- root/root 1116 2019-01-15 10:26 ./usr/share/yosys/xilinx/lut2lut.v +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: ppc64el Build-Space: 1383124 Build-Time: 456 Distribution: disco-proposed Host Architecture: ppc64el Install-Time: 16 Job: yosys_0.8-1build1.dsc Machine Architecture: ppc64el Package: yosys Package-Time: 473 Source-Version: 0.8-1build1 Space: 1383124 Status: successful Version: 0.8-1build1 -------------------------------------------------------------------------------- Finished at 20190115-1118 Build needed 00:07:53, 1383124k disc space RUN: /usr/share/launchpad-buildd/slavebin/in-target scan-for-processes --backend=chroot --series=disco --arch=ppc64el PACKAGEBUILD-16281232 Scanning for processes to kill in build PACKAGEBUILD-16281232