https://launchpad.net/~ci-train-ppa-service/+archive/ubuntu/4221/+build/19871871 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux riscv64-qemu-lcy01-003 5.4.0-21-generic #25-Ubuntu SMP Tue Mar 31 01:57:06 UTC 2020 riscv64 Buildd toolchain package versions: launchpad-buildd_188 python-lpbuildd_188 sbuild_0.79.0-1ubuntu1 git_1:2.25.1-1ubuntu1 dpkg-dev_1.19.7ubuntu2 python-debian_0.1.36build1. Syncing the system clock with the buildd NTP service... 24 Aug 20:46:52 ntpdate[3293216]: adjust time server 10.211.37.1 offset -0.000653 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 --image-type chroot /home/buildd/filecache-default/a310bc38fff7ff850d9523076a2a133474f10c4a Creating target for build PACKAGEBUILD-19871871 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 Starting target for build PACKAGEBUILD-19871871 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 'deb http://ppa.launchpad.net/ci-train-ppa-service/4221/ubuntu groovy main' 'deb http://ftpmaster.internal/ubuntu groovy main restricted universe multiverse' 'deb http://ftpmaster.internal/ubuntu groovy-security main restricted universe multiverse' 'deb http://ftpmaster.internal/ubuntu groovy-updates main restricted universe multiverse' Overriding sources.list in build-PACKAGEBUILD-19871871 RUN: /usr/share/launchpad-buildd/bin/in-target add-trusted-keys --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 Adding trusted keys to build-PACKAGEBUILD-19871871 Warning: apt-key output should not be parsed (stdout is not a terminal) OK Warning: apt-key output should not be parsed (stdout is not a terminal) /etc/apt/trusted.gpg -------------------- pub rsa1024 2014-01-08 [SC] B4C3 96DF 0DD9 A0ED 00B2 1D28 8550 4128 ECF1 204C uid [ unknown] Launchpad PPA for CI Train PPA Service Team /etc/apt/trusted.gpg.d/ubuntu-keyring-2012-cdimage.gpg ------------------------------------------------------ pub rsa4096 2012-05-11 [SC] 8439 38DF 228D 22F7 B374 2BC0 D94A A3F0 EFE2 1092 uid [ unknown] Ubuntu CD Image Automatic Signing Key (2012) /etc/apt/trusted.gpg.d/ubuntu-keyring-2018-archive.gpg ------------------------------------------------------ pub rsa4096 2018-09-17 [SC] F6EC B376 2474 EDA9 D21B 7022 8719 20D1 991B C93C uid [ unknown] Ubuntu Archive Automatic Signing Key (2018) RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 Updating target for build PACKAGEBUILD-19871871 Get:1 http://ppa.launchpad.net/ci-train-ppa-service/4221/ubuntu groovy InRelease [23.8 kB] Get:2 http://ftpmaster.internal/ubuntu groovy InRelease [267 kB] Get:3 http://ftpmaster.internal/ubuntu groovy-security InRelease [89.1 kB] Get:4 http://ftpmaster.internal/ubuntu groovy-updates InRelease [89.1 kB] Get:5 http://ppa.launchpad.net/ci-train-ppa-service/4221/ubuntu groovy/main riscv64 Packages [1432 B] Get:6 http://ppa.launchpad.net/ci-train-ppa-service/4221/ubuntu groovy/main Translation-en [4932 B] Get:7 http://ftpmaster.internal/ubuntu groovy/main riscv64 Packages [889 kB] Get:8 http://ftpmaster.internal/ubuntu groovy/main Translation-en [510 kB] Get:9 http://ftpmaster.internal/ubuntu groovy/restricted riscv64 Packages [1644 B] Get:10 http://ftpmaster.internal/ubuntu groovy/restricted Translation-en [7876 B] Get:11 http://ftpmaster.internal/ubuntu groovy/universe riscv64 Packages [8246 kB] Get:12 http://ftpmaster.internal/ubuntu groovy/universe Translation-en [5264 kB] Get:13 http://ftpmaster.internal/ubuntu groovy/multiverse riscv64 Packages [114 kB] Get:14 http://ftpmaster.internal/ubuntu groovy/multiverse Translation-en [108 kB] Fetched 15.6 MB in 28s (550 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following NEW packages will be installed: cpp-10 g++-10 gcc-10 libgcc-10-dev libstdc++-10-dev The following packages will be upgraded: apt base-files binutils binutils-common binutils-riscv64-linux-gnu bsdextrautils bsdutils coreutils cpp cpp-9 debianutils dpkg dpkg-dev g++ g++-9 gcc gcc-10-base gcc-9 gcc-9-base libapt-pkg6.0 libatomic1 libaudit-common libaudit1 libbinutils libblkid1 libcc1-0 libctf-nobfd0 libctf0 libdpkg-perl libgcc-9-dev libgcc-s1 libgdbm-compat4 libgdbm6 libgmp10 libgomp1 libmount1 libmpc3 libp11-kit0 libsmartcols1 libsqlite3-0 libstdc++-9-dev libstdc++6 libsystemd0 libudev1 libuuid1 libzstd1 mount util-linux 48 upgraded, 5 newly installed, 0 to remove and 0 not upgraded. Need to get 65.8 MB of archives. After this operation, 115 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu groovy/main riscv64 base-files riscv64 11ubuntu12 [59.9 kB] Get:2 http://ftpmaster.internal/ubuntu groovy/main riscv64 bsdutils riscv64 1:2.36-2ubuntu1 [78.8 kB] Get:3 http://ftpmaster.internal/ubuntu groovy/main riscv64 coreutils riscv64 8.32-3ubuntu1 [1255 kB] Get:4 http://ftpmaster.internal/ubuntu groovy/main riscv64 debianutils riscv64 4.11.1 [84.4 kB] Get:5 http://ftpmaster.internal/ubuntu groovy/main riscv64 dpkg riscv64 1.20.5ubuntu1 [1136 kB] Get:6 http://ftpmaster.internal/ubuntu groovy/main riscv64 util-linux riscv64 2.36-2ubuntu1 [993 kB] Get:7 http://ftpmaster.internal/ubuntu groovy/main riscv64 libgomp1 riscv64 10.2.0-5ubuntu2 [83.9 kB] Get:8 http://ftpmaster.internal/ubuntu groovy/main riscv64 gcc-10-base riscv64 10.2.0-5ubuntu2 [19.7 kB] Get:9 http://ftpmaster.internal/ubuntu groovy/main riscv64 libgcc-s1 riscv64 10.2.0-5ubuntu2 [40.4 kB] Get:10 http://ftpmaster.internal/ubuntu groovy/main riscv64 libcc1-0 riscv64 10.2.0-5ubuntu2 [38.3 kB] Get:11 http://ftpmaster.internal/ubuntu groovy/main riscv64 libatomic1 riscv64 10.2.0-5ubuntu2 [7480 B] Get:12 http://ftpmaster.internal/ubuntu groovy/main riscv64 libstdc++6 riscv64 10.2.0-5ubuntu2 [506 kB] Get:13 http://ftpmaster.internal/ubuntu groovy/main riscv64 libsystemd0 riscv64 246-2ubuntu1 [246 kB] Get:14 http://ftpmaster.internal/ubuntu groovy/main riscv64 libudev1 riscv64 246-2ubuntu1 [60.5 kB] Get:15 http://ftpmaster.internal/ubuntu groovy/main riscv64 libzstd1 riscv64 1.4.5+dfsg-4 [337 kB] Get:16 http://ftpmaster.internal/ubuntu groovy/main riscv64 libapt-pkg6.0 riscv64 2.1.10 [805 kB] Get:17 http://ftpmaster.internal/ubuntu groovy/main riscv64 apt riscv64 2.1.10 [1239 kB] Get:18 http://ftpmaster.internal/ubuntu groovy/main riscv64 mount riscv64 2.36-2ubuntu1 [112 kB] Get:19 http://ftpmaster.internal/ubuntu groovy/main riscv64 bsdextrautils riscv64 2.36-2ubuntu1 [70.2 kB] Get:20 http://ftpmaster.internal/ubuntu groovy/main riscv64 libaudit-common all 1:2.8.5-3ubuntu1 [4076 B] Get:21 http://ftpmaster.internal/ubuntu groovy/main riscv64 libaudit1 riscv64 1:2.8.5-3ubuntu1 [37.6 kB] Get:22 http://ftpmaster.internal/ubuntu groovy/main riscv64 libblkid1 riscv64 2.36-2ubuntu1 [127 kB] Get:23 http://ftpmaster.internal/ubuntu groovy/main riscv64 libmount1 riscv64 2.36-2ubuntu1 [134 kB] Get:24 http://ftpmaster.internal/ubuntu groovy/main riscv64 libsmartcols1 riscv64 2.36-2ubuntu1 [87.8 kB] Get:25 http://ftpmaster.internal/ubuntu groovy/main riscv64 libuuid1 riscv64 2.36-2ubuntu1 [23.8 kB] Get:26 http://ftpmaster.internal/ubuntu groovy/main riscv64 libgmp10 riscv64 2:6.2.0+dfsg-6ubuntu1 [230 kB] Get:27 http://ftpmaster.internal/ubuntu groovy/main riscv64 libp11-kit0 riscv64 0.23.21-2 [161 kB] Get:28 http://ftpmaster.internal/ubuntu groovy/main riscv64 libsqlite3-0 riscv64 3.33.0-1 [523 kB] Get:29 http://ftpmaster.internal/ubuntu groovy/main riscv64 libgdbm6 riscv64 1.18.1-5.1 [24.2 kB] Get:30 http://ftpmaster.internal/ubuntu groovy/main riscv64 libctf0 riscv64 2.35-2ubuntu1 [40.5 kB] Get:31 http://ftpmaster.internal/ubuntu groovy/main riscv64 libctf-nobfd0 riscv64 2.35-2ubuntu1 [41.1 kB] Get:32 http://ftpmaster.internal/ubuntu groovy/main riscv64 binutils-riscv64-linux-gnu riscv64 2.35-2ubuntu1 [671 kB] Get:33 http://ftpmaster.internal/ubuntu groovy/main riscv64 libbinutils riscv64 2.35-2ubuntu1 [289 kB] Get:34 http://ftpmaster.internal/ubuntu groovy/main riscv64 binutils riscv64 2.35-2ubuntu1 [3292 B] Get:35 http://ftpmaster.internal/ubuntu groovy/main riscv64 binutils-common riscv64 2.35-2ubuntu1 [204 kB] Get:36 http://ftpmaster.internal/ubuntu groovy/main riscv64 libmpc3 riscv64 1.2.0~rc1-1 [41.5 kB] Get:37 http://ftpmaster.internal/ubuntu groovy/main riscv64 cpp-10 riscv64 10.2.0-5ubuntu2 [6510 kB] Get:38 http://ftpmaster.internal/ubuntu groovy/main riscv64 libgcc-10-dev riscv64 10.2.0-5ubuntu2 [473 kB] Get:39 http://ftpmaster.internal/ubuntu groovy/main riscv64 gcc-10 riscv64 10.2.0-5ubuntu2 [13.1 MB] Get:40 http://ftpmaster.internal/ubuntu groovy/main riscv64 g++ riscv64 4:10.1.0-1ubuntu1 [1592 B] Get:41 http://ftpmaster.internal/ubuntu groovy/main riscv64 gcc riscv64 4:10.1.0-1ubuntu1 [5220 B] Get:42 http://ftpmaster.internal/ubuntu groovy/main riscv64 libstdc++-10-dev riscv64 10.2.0-5ubuntu2 [3611 kB] Get:43 http://ftpmaster.internal/ubuntu groovy/main riscv64 g++-10 riscv64 10.2.0-5ubuntu2 [7477 kB] Get:44 http://ftpmaster.internal/ubuntu groovy/main riscv64 cpp riscv64 4:10.1.0-1ubuntu1 [27.7 kB] Get:45 http://ftpmaster.internal/ubuntu groovy/universe riscv64 g++-9 riscv64 9.3.0-17ubuntu1 [6929 kB] Get:46 http://ftpmaster.internal/ubuntu groovy/universe riscv64 gcc-9 riscv64 9.3.0-17ubuntu1 [6791 kB] Get:47 http://ftpmaster.internal/ubuntu groovy/universe riscv64 libstdc++-9-dev riscv64 9.3.0-17ubuntu1 [3682 kB] Get:48 http://ftpmaster.internal/ubuntu groovy/universe riscv64 libgcc-9-dev riscv64 9.3.0-17ubuntu1 [404 kB] Get:49 http://ftpmaster.internal/ubuntu groovy/universe riscv64 cpp-9 riscv64 9.3.0-17ubuntu1 [6050 kB] Get:50 http://ftpmaster.internal/ubuntu groovy/universe riscv64 gcc-9-base riscv64 9.3.0-17ubuntu1 [19.3 kB] Get:51 http://ftpmaster.internal/ubuntu groovy/main riscv64 dpkg-dev all 1.20.5ubuntu1 [758 kB] Get:52 http://ftpmaster.internal/ubuntu groovy/main riscv64 libdpkg-perl all 1.20.5ubuntu1 [231 kB] Get:53 http://ftpmaster.internal/ubuntu groovy/main riscv64 libgdbm-compat4 riscv64 1.18.1-5.1 [5600 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 65.8 MB in 11s (5895 kB/s) (Reading database ... 9854 files and directories currently installed.) Preparing to unpack .../base-files_11ubuntu12_riscv64.deb ... Unpacking base-files (11ubuntu12) over (11ubuntu9) ... Setting up base-files (11ubuntu12) ... Installing new version of config file /etc/update-motd.d/50-motd-news ... Removing obsolete conffile /etc/default/motd-news ... (Reading database ... 9853 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.36-2ubuntu1_riscv64.deb ... Unpacking bsdutils (1:2.36-2ubuntu1) over (1:2.35.2-9ubuntu1) ... Setting up bsdutils (1:2.36-2ubuntu1) ... (Reading database ... 9853 files and directories currently installed.) Preparing to unpack .../coreutils_8.32-3ubuntu1_riscv64.deb ... Unpacking coreutils (8.32-3ubuntu1) over (8.30-3ubuntu3) ... Setting up coreutils (8.32-3ubuntu1) ... (Reading database ... 9951 files and directories currently installed.) Preparing to unpack .../debianutils_4.11.1_riscv64.deb ... Unpacking debianutils (4.11.1) over (4.11) ... Setting up debianutils (4.11.1) ... (Reading database ... 9951 files and directories currently installed.) Preparing to unpack .../dpkg_1.20.5ubuntu1_riscv64.deb ... Unpacking dpkg (1.20.5ubuntu1) over (1.19.7ubuntu4) ... Setting up dpkg (1.20.5ubuntu1) ... Installing new version of config file /etc/cron.daily/dpkg ... (Reading database ... 9960 files and directories currently installed.) Preparing to unpack .../util-linux_2.36-2ubuntu1_riscv64.deb ... Unpacking util-linux (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Setting up util-linux (2.36-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libgomp1_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libgomp1:riscv64 (10.2.0-5ubuntu2) over (10.1.0-6ubuntu1) ... Preparing to unpack .../gcc-10-base_10.2.0-5ubuntu2_riscv64.deb ... Unpacking gcc-10-base:riscv64 (10.2.0-5ubuntu2) over (10.1.0-6ubuntu1) ... Setting up gcc-10-base:riscv64 (10.2.0-5ubuntu2) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libgcc-s1_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libgcc-s1:riscv64 (10.2.0-5ubuntu2) over (10.1.0-6ubuntu1) ... Setting up libgcc-s1:riscv64 (10.2.0-5ubuntu2) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libcc1-0_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libcc1-0:riscv64 (10.2.0-5ubuntu2) over (10.1.0-6ubuntu1) ... Preparing to unpack .../libatomic1_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libatomic1:riscv64 (10.2.0-5ubuntu2) over (10.1.0-6ubuntu1) ... Preparing to unpack .../libstdc++6_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libstdc++6:riscv64 (10.2.0-5ubuntu2) over (10.1.0-6ubuntu1) ... Setting up libstdc++6:riscv64 (10.2.0-5ubuntu2) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libsystemd0_246-2ubuntu1_riscv64.deb ... Unpacking libsystemd0:riscv64 (246-2ubuntu1) over (245.6-3ubuntu3) ... Setting up libsystemd0:riscv64 (246-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libudev1_246-2ubuntu1_riscv64.deb ... Unpacking libudev1:riscv64 (246-2ubuntu1) over (245.6-3ubuntu3) ... Setting up libudev1:riscv64 (246-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libzstd1_1.4.5+dfsg-4_riscv64.deb ... Unpacking libzstd1:riscv64 (1.4.5+dfsg-4) over (1.4.5+dfsg-3) ... Setting up libzstd1:riscv64 (1.4.5+dfsg-4) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0_2.1.10_riscv64.deb ... Unpacking libapt-pkg6.0:riscv64 (2.1.10) over (2.1.7) ... Setting up libapt-pkg6.0:riscv64 (2.1.10) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../apt_2.1.10_riscv64.deb ... Unpacking apt (2.1.10) over (2.1.7) ... Setting up apt (2.1.10) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../mount_2.36-2ubuntu1_riscv64.deb ... Unpacking mount (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Preparing to unpack .../bsdextrautils_2.36-2ubuntu1_riscv64.deb ... Unpacking bsdextrautils (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Preparing to unpack .../libaudit-common_1%3a2.8.5-3ubuntu1_all.deb ... Unpacking libaudit-common (1:2.8.5-3ubuntu1) over (1:2.8.5-2ubuntu6) ... Setting up libaudit-common (1:2.8.5-3ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a2.8.5-3ubuntu1_riscv64.deb ... Unpacking libaudit1:riscv64 (1:2.8.5-3ubuntu1) over (1:2.8.5-2ubuntu6) ... Setting up libaudit1:riscv64 (1:2.8.5-3ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libblkid1_2.36-2ubuntu1_riscv64.deb ... Unpacking libblkid1:riscv64 (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Setting up libblkid1:riscv64 (2.36-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libmount1_2.36-2ubuntu1_riscv64.deb ... Unpacking libmount1:riscv64 (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Setting up libmount1:riscv64 (2.36-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libsmartcols1_2.36-2ubuntu1_riscv64.deb ... Unpacking libsmartcols1:riscv64 (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Setting up libsmartcols1:riscv64 (2.36-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libuuid1_2.36-2ubuntu1_riscv64.deb ... Unpacking libuuid1:riscv64 (2.36-2ubuntu1) over (2.35.2-9ubuntu1) ... Setting up libuuid1:riscv64 (2.36-2ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libgmp10_2%3a6.2.0+dfsg-6ubuntu1_riscv64.deb ... Unpacking libgmp10:riscv64 (2:6.2.0+dfsg-6ubuntu1) over (2:6.2.0+dfsg-6) ... Setting up libgmp10:riscv64 (2:6.2.0+dfsg-6ubuntu1) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../libp11-kit0_0.23.21-2_riscv64.deb ... Unpacking libp11-kit0:riscv64 (0.23.21-2) over (0.23.20-1build1) ... Setting up libp11-kit0:riscv64 (0.23.21-2) ... (Reading database ... 9959 files and directories currently installed.) Preparing to unpack .../00-libsqlite3-0_3.33.0-1_riscv64.deb ... Unpacking libsqlite3-0:riscv64 (3.33.0-1) over (3.32.3-1) ... Preparing to unpack .../01-libgdbm6_1.18.1-5.1_riscv64.deb ... Unpacking libgdbm6:riscv64 (1.18.1-5.1) over (1.18.1-5) ... Preparing to unpack .../02-libctf0_2.35-2ubuntu1_riscv64.deb ... Unpacking libctf0:riscv64 (2.35-2ubuntu1) over (2.34.90.20200716-1ubuntu1) ... Preparing to unpack .../03-libctf-nobfd0_2.35-2ubuntu1_riscv64.deb ... Unpacking libctf-nobfd0:riscv64 (2.35-2ubuntu1) over (2.34.90.20200716-1ubuntu1) ... Preparing to unpack .../04-binutils-riscv64-linux-gnu_2.35-2ubuntu1_riscv64.deb ... Unpacking binutils-riscv64-linux-gnu (2.35-2ubuntu1) over (2.34.90.20200716-1ubuntu1) ... Preparing to unpack .../05-libbinutils_2.35-2ubuntu1_riscv64.deb ... Unpacking libbinutils:riscv64 (2.35-2ubuntu1) over (2.34.90.20200716-1ubuntu1) ... Preparing to unpack .../06-binutils_2.35-2ubuntu1_riscv64.deb ... Unpacking binutils (2.35-2ubuntu1) over (2.34.90.20200716-1ubuntu1) ... Preparing to unpack .../07-binutils-common_2.35-2ubuntu1_riscv64.deb ... Unpacking binutils-common:riscv64 (2.35-2ubuntu1) over (2.34.90.20200716-1ubuntu1) ... Preparing to unpack .../08-libmpc3_1.2.0~rc1-1_riscv64.deb ... Unpacking libmpc3:riscv64 (1.2.0~rc1-1) over (1.1.0-1) ... Selecting previously unselected package cpp-10. Preparing to unpack .../09-cpp-10_10.2.0-5ubuntu2_riscv64.deb ... Unpacking cpp-10 (10.2.0-5ubuntu2) ... Selecting previously unselected package libgcc-10-dev:riscv64. Preparing to unpack .../10-libgcc-10-dev_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libgcc-10-dev:riscv64 (10.2.0-5ubuntu2) ... Selecting previously unselected package gcc-10. Preparing to unpack .../11-gcc-10_10.2.0-5ubuntu2_riscv64.deb ... Unpacking gcc-10 (10.2.0-5ubuntu2) ... Preparing to unpack .../12-g++_4%3a10.1.0-1ubuntu1_riscv64.deb ... Unpacking g++ (4:10.1.0-1ubuntu1) over (4:9.3.0-1ubuntu2) ... Preparing to unpack .../13-gcc_4%3a10.1.0-1ubuntu1_riscv64.deb ... Unpacking gcc (4:10.1.0-1ubuntu1) over (4:9.3.0-1ubuntu2) ... Selecting previously unselected package libstdc++-10-dev:riscv64. Preparing to unpack .../14-libstdc++-10-dev_10.2.0-5ubuntu2_riscv64.deb ... Unpacking libstdc++-10-dev:riscv64 (10.2.0-5ubuntu2) ... Selecting previously unselected package g++-10. Preparing to unpack .../15-g++-10_10.2.0-5ubuntu2_riscv64.deb ... Unpacking g++-10 (10.2.0-5ubuntu2) ... Preparing to unpack .../16-cpp_4%3a10.1.0-1ubuntu1_riscv64.deb ... Unpacking cpp (4:10.1.0-1ubuntu1) over (4:9.3.0-1ubuntu2) ... Preparing to unpack .../17-g++-9_9.3.0-17ubuntu1_riscv64.deb ... Unpacking g++-9 (9.3.0-17ubuntu1) over (9.3.0-13ubuntu1) ... Preparing to unpack .../18-gcc-9_9.3.0-17ubuntu1_riscv64.deb ... Unpacking gcc-9 (9.3.0-17ubuntu1) over (9.3.0-13ubuntu1) ... Preparing to unpack .../19-libstdc++-9-dev_9.3.0-17ubuntu1_riscv64.deb ... Unpacking libstdc++-9-dev:riscv64 (9.3.0-17ubuntu1) over (9.3.0-13ubuntu1) ... Preparing to unpack .../20-libgcc-9-dev_9.3.0-17ubuntu1_riscv64.deb ... Unpacking libgcc-9-dev:riscv64 (9.3.0-17ubuntu1) over (9.3.0-13ubuntu1) ... Preparing to unpack .../21-cpp-9_9.3.0-17ubuntu1_riscv64.deb ... Unpacking cpp-9 (9.3.0-17ubuntu1) over (9.3.0-13ubuntu1) ... Preparing to unpack .../22-gcc-9-base_9.3.0-17ubuntu1_riscv64.deb ... Unpacking gcc-9-base:riscv64 (9.3.0-17ubuntu1) over (9.3.0-13ubuntu1) ... Preparing to unpack .../23-dpkg-dev_1.20.5ubuntu1_all.deb ... Unpacking dpkg-dev (1.20.5ubuntu1) over (1.19.7ubuntu4) ... Preparing to unpack .../24-libdpkg-perl_1.20.5ubuntu1_all.deb ... Unpacking libdpkg-perl (1.20.5ubuntu1) over (1.19.7ubuntu4) ... Preparing to unpack .../25-libgdbm-compat4_1.18.1-5.1_riscv64.deb ... Unpacking libgdbm-compat4:riscv64 (1.18.1-5.1) over (1.18.1-5) ... Setting up bsdextrautils (2.36-2ubuntu1) ... Setting up libsqlite3-0:riscv64 (3.33.0-1) ... Setting up binutils-common:riscv64 (2.35-2ubuntu1) ... Setting up libctf-nobfd0:riscv64 (2.35-2ubuntu1) ... Setting up libgomp1:riscv64 (10.2.0-5ubuntu2) ... Setting up libmpc3:riscv64 (1.2.0~rc1-1) ... Setting up libatomic1:riscv64 (10.2.0-5ubuntu2) ... Setting up libdpkg-perl (1.20.5ubuntu1) ... Setting up mount (2.36-2ubuntu1) ... Setting up libbinutils:riscv64 (2.35-2ubuntu1) ... Setting up libcc1-0:riscv64 (10.2.0-5ubuntu2) ... Setting up cpp-10 (10.2.0-5ubuntu2) ... Setting up libgdbm6:riscv64 (1.18.1-5.1) ... Setting up gcc-9-base:riscv64 (9.3.0-17ubuntu1) ... Setting up libctf0:riscv64 (2.35-2ubuntu1) ... Setting up libgcc-10-dev:riscv64 (10.2.0-5ubuntu2) ... Setting up binutils-riscv64-linux-gnu (2.35-2ubuntu1) ... Setting up binutils (2.35-2ubuntu1) ... Setting up dpkg-dev (1.20.5ubuntu1) ... Setting up libgcc-9-dev:riscv64 (9.3.0-17ubuntu1) ... Setting up libgdbm-compat4:riscv64 (1.18.1-5.1) ... Setting up gcc-10 (10.2.0-5ubuntu2) ... Setting up cpp (4:10.1.0-1ubuntu1) ... Setting up cpp-9 (9.3.0-17ubuntu1) ... Setting up gcc-9 (9.3.0-17ubuntu1) ... Setting up libstdc++-10-dev:riscv64 (10.2.0-5ubuntu2) ... Setting up g++-10 (10.2.0-5ubuntu2) ... Setting up libstdc++-9-dev:riscv64 (9.3.0-17ubuntu1) ... Setting up gcc (4:10.1.0-1ubuntu1) ... Setting up g++-9 (9.3.0-17ubuntu1) ... Setting up g++ (4:10.1.0-1ubuntu1) ... Processing triggers for libc-bin (2.31-0ubuntu10) ... RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-19871871 riscv64 groovy -c chroot:build-PACKAGEBUILD-19871871 --arch=riscv64 --dist=groovy --nolog yosys_0.9-1build4.dsc Initiating build PACKAGEBUILD-19871871 with 8 jobs across 8 processor cores. Kernel reported to sbuild: 5.4.0-21-generic #25-Ubuntu SMP Tue Mar 31 01:57:06 UTC 2020 riscv64 sbuild (Debian sbuild) 0.79.0 (05 February 2020) on riscv64-qemu-lcy01-003.buildd +==============================================================================+ | yosys 0.9-1build4 (riscv64) Mon, 24 Aug 2020 20:51:22 +0000 | +==============================================================================+ Package: yosys Version: 0.9-1build4 Source Version: 0.9-1build4 Distribution: groovy Machine Architecture: riscv64 Host Architecture: riscv64 Build Architecture: riscv64 Build Type: any I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-19871871/chroot-autobuild' with '<>' I: NOTICE: Log filtering will replace 'build/yosys-4XAN4B/resolver-89SQZW' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- yosys_0.9-1build4.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/yosys-4XAN4B/yosys-0.9' with '<>' I: NOTICE: Log filtering will replace 'build/yosys-4XAN4B' with '<>' +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: debhelper-compat (= 12), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), build-essential, fakeroot Filtered Build-Depends: debhelper-compat (= 12), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<>/apt_archive/sbuild-build-depends-main-dummy.deb'. Ign:1 copy:/<>/apt_archive ./ InRelease Get:2 copy:/<>/apt_archive ./ Release [957 B] Ign:3 copy:/<>/apt_archive ./ Release.gpg Get:4 copy:/<>/apt_archive ./ Sources [468 B] Get:5 copy:/<>/apt_archive ./ Packages [556 B] Fetched 1981 B in 1s (3118 B/s) Reading package lists... Reading package lists... Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following package was automatically installed and is no longer required: libgpm2 Use 'apt autoremove' to remove it. The following additional packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libcroco3 libdebhelper-perl libelf1 libexpat1 libffi-dev libffi8ubuntu1 libfile-stripnondeterminism-perl libglib2.0-0 libicu67 libmagic-mgc libmagic1 libncurses-dev libncurses6 libpipeline1 libpython3-stdlib libpython3.8-minimal libpython3.8-stdlib libreadline-dev libsigsegv2 libsub-override-perl libtcl8.6 libtool libuchardet0 libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-distutils python3-lib2to3 python3-minimal python3.8 python3.8-minimal tcl tcl-dev tcl8.6 tcl8.6-dev txt2man tzdata zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc bison-doc dh-make flex-doc gawk-doc gettext-doc libasprintf-dev libgettextpo-dev groff gtkwave ncurses-doc readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv python3.8-venv python3.8-doc binfmt-support tcl-doc tcl-tclreadline tcl8.6-doc ghostscript Recommended packages: libfl-dev curl | wget | lynx libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl The following NEW packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison debhelper dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libcroco3 libdebhelper-perl libelf1 libexpat1 libffi-dev libffi8ubuntu1 libfile-stripnondeterminism-perl libglib2.0-0 libicu67 libmagic-mgc libmagic1 libncurses-dev libncurses6 libpipeline1 libpython3-stdlib libpython3.8-minimal libpython3.8-stdlib libreadline-dev libsigsegv2 libsub-override-perl libtcl8.6 libtool libuchardet0 libxml2 m4 man-db mime-support pkg-config po-debconf python3 python3-distutils python3-lib2to3 python3-minimal python3.8 python3.8-minimal sbuild-build-depends-main-dummy tcl tcl-dev tcl8.6 tcl8.6-dev txt2man tzdata zlib1g-dev 0 upgraded, 63 newly installed, 0 to remove and 0 not upgraded. Need to get 33.8 MB of archives. After this operation, 144 MB of additional disk space will be used. Get:1 copy:/<>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [984 B] Get:2 http://ftpmaster.internal/ubuntu groovy/main riscv64 libpython3.8-minimal riscv64 3.8.5-2 [706 kB] Get:3 http://ppa.launchpad.net/ci-train-ppa-service/4221/ubuntu groovy/main riscv64 libffi8ubuntu1 riscv64 3.4~20200819gead65ca871-0ubuntu3 [17.2 kB] Get:4 http://ppa.launchpad.net/ci-train-ppa-service/4221/ubuntu groovy/main riscv64 libffi-dev riscv64 3.4~20200819gead65ca871-0ubuntu3 [73.9 kB] Get:5 http://ftpmaster.internal/ubuntu groovy/main riscv64 libexpat1 riscv64 2.2.9-1build1 [68.8 kB] Get:6 http://ftpmaster.internal/ubuntu groovy/main riscv64 python3.8-minimal riscv64 3.8.5-2 [1440 kB] Get:7 http://ftpmaster.internal/ubuntu groovy/main riscv64 python3-minimal riscv64 3.8.2-0ubuntu2 [23.6 kB] Get:8 http://ftpmaster.internal/ubuntu groovy/main riscv64 mime-support all 3.64ubuntu1 [30.6 kB] Get:9 http://ftpmaster.internal/ubuntu groovy/main riscv64 libpython3.8-stdlib riscv64 3.8.5-2 [1622 kB] Get:10 http://ftpmaster.internal/ubuntu groovy/main riscv64 python3.8 riscv64 3.8.5-2 [373 kB] Get:11 http://ftpmaster.internal/ubuntu groovy/main riscv64 libpython3-stdlib riscv64 3.8.2-0ubuntu2 [7072 B] Get:12 http://ftpmaster.internal/ubuntu groovy/main riscv64 python3 riscv64 3.8.2-0ubuntu2 [47.6 kB] Get:13 http://ftpmaster.internal/ubuntu groovy/main riscv64 libuchardet0 riscv64 0.0.7-1 [67.7 kB] Get:14 http://ftpmaster.internal/ubuntu groovy/main riscv64 groff-base riscv64 1.22.4-5 [815 kB] Get:15 http://ftpmaster.internal/ubuntu groovy/main riscv64 libpipeline1 riscv64 1.5.3-1 [23.9 kB] Get:16 http://ftpmaster.internal/ubuntu groovy/main riscv64 man-db riscv64 2.9.3-2 [1081 kB] Get:17 http://ftpmaster.internal/ubuntu groovy/main riscv64 libsigsegv2 riscv64 2.12-2build1 [12.9 kB] Get:18 http://ftpmaster.internal/ubuntu groovy/main riscv64 m4 riscv64 1.4.18-4 [188 kB] Get:19 http://ftpmaster.internal/ubuntu groovy/main riscv64 flex riscv64 2.6.4-8 [283 kB] Get:20 http://ftpmaster.internal/ubuntu groovy/main riscv64 gawk riscv64 1:5.0.1+dfsg-1 [381 kB] Get:21 http://ftpmaster.internal/ubuntu groovy/main riscv64 libncurses6 riscv64 6.2-1 [86.2 kB] Get:22 http://ftpmaster.internal/ubuntu groovy/main riscv64 libmagic-mgc riscv64 1:5.38-5 [218 kB] Get:23 http://ftpmaster.internal/ubuntu groovy/main riscv64 libmagic1 riscv64 1:5.38-5 [70.6 kB] Get:24 http://ftpmaster.internal/ubuntu groovy/main riscv64 file riscv64 1:5.38-5 [22.5 kB] Get:25 http://ftpmaster.internal/ubuntu groovy/main riscv64 libelf1 riscv64 0.180-1 [42.1 kB] Get:26 http://ftpmaster.internal/ubuntu groovy/main riscv64 libglib2.0-0 riscv64 2.64.4-1 [1123 kB] Get:27 http://ftpmaster.internal/ubuntu groovy/main riscv64 libicu67 riscv64 67.1-4 [8503 kB] Get:28 http://ftpmaster.internal/ubuntu groovy/main riscv64 libxml2 riscv64 2.9.10+dfsg-5build1 [543 kB] Get:29 http://ftpmaster.internal/ubuntu groovy/main riscv64 tzdata all 2020a-0ubuntu1 [293 kB] Get:30 http://ftpmaster.internal/ubuntu groovy/main riscv64 gettext-base riscv64 0.19.8.1-10build1 [47.4 kB] Get:31 http://ftpmaster.internal/ubuntu groovy/main riscv64 autoconf all 2.69-11.1 [321 kB] Get:32 http://ftpmaster.internal/ubuntu groovy/main riscv64 autotools-dev all 20180224.1 [39.6 kB] Get:33 http://ftpmaster.internal/ubuntu groovy/main riscv64 automake all 1:1.16.2-3ubuntu2 [548 kB] Get:34 http://ftpmaster.internal/ubuntu groovy/main riscv64 autopoint all 0.19.8.1-10build1 [412 kB] Get:35 http://ftpmaster.internal/ubuntu groovy/universe riscv64 berkeley-abc riscv64 1.01+20191006git52a8ebb+dfsg-1build1 [5032 kB] Get:36 http://ftpmaster.internal/ubuntu groovy/main riscv64 bison riscv64 2:3.7+dfsg-1 [685 kB] Get:37 http://ftpmaster.internal/ubuntu groovy/main riscv64 libtool all 2.4.6-14 [161 kB] Get:38 http://ftpmaster.internal/ubuntu groovy/main riscv64 dh-autoreconf all 19 [16.1 kB] Get:39 http://ftpmaster.internal/ubuntu groovy/main riscv64 libdebhelper-perl all 13.2ubuntu1 [64.2 kB] Get:40 http://ftpmaster.internal/ubuntu groovy/main riscv64 libarchive-zip-perl all 1.68-1 [90.2 kB] Get:41 http://ftpmaster.internal/ubuntu groovy/main riscv64 libsub-override-perl all 0.09-2 [9532 B] Get:42 http://ftpmaster.internal/ubuntu groovy/main riscv64 libfile-stripnondeterminism-perl all 1.9.0-1 [17.2 kB] Get:43 http://ftpmaster.internal/ubuntu groovy/main riscv64 dh-strip-nondeterminism all 1.9.0-1 [5192 B] Get:44 http://ftpmaster.internal/ubuntu groovy/main riscv64 dwz riscv64 0.13-5 [147 kB] Get:45 http://ftpmaster.internal/ubuntu groovy/main riscv64 libcroco3 riscv64 0.6.13-1 [71.1 kB] Get:46 http://ftpmaster.internal/ubuntu groovy/main riscv64 gettext riscv64 0.19.8.1-10build1 [844 kB] Get:47 http://ftpmaster.internal/ubuntu groovy/main riscv64 intltool-debian all 0.35.0+20060710.5 [24.9 kB] Get:48 http://ftpmaster.internal/ubuntu groovy/main riscv64 po-debconf all 1.0.21 [233 kB] Get:49 http://ftpmaster.internal/ubuntu groovy/main riscv64 debhelper all 13.2ubuntu1 [878 kB] Get:50 http://ftpmaster.internal/ubuntu groovy/main riscv64 python3-lib2to3 all 3.8.5-1 [75.6 kB] Get:51 http://ftpmaster.internal/ubuntu groovy/main riscv64 python3-distutils all 3.8.5-1 [141 kB] Get:52 http://ftpmaster.internal/ubuntu groovy/universe riscv64 dh-python all 4.20200804ubuntu3 [91.7 kB] Get:53 http://ftpmaster.internal/ubuntu groovy/universe riscv64 iverilog riscv64 10.3-2 [1752 kB] Get:54 http://ftpmaster.internal/ubuntu groovy/main riscv64 libncurses-dev riscv64 6.2-1 [691 kB] Get:55 http://ftpmaster.internal/ubuntu groovy/main riscv64 libreadline-dev riscv64 8.0-4 [262 kB] Get:56 http://ftpmaster.internal/ubuntu groovy/main riscv64 libtcl8.6 riscv64 8.6.10+dfsg-1 [830 kB] Get:57 http://ftpmaster.internal/ubuntu groovy/main riscv64 pkg-config riscv64 0.29.2-1ubuntu1 [43.7 kB] Get:58 http://ftpmaster.internal/ubuntu groovy/main riscv64 tcl8.6 riscv64 8.6.10+dfsg-1 [14.5 kB] Get:59 http://ftpmaster.internal/ubuntu groovy/universe riscv64 tcl riscv64 8.6.9+1 [5112 B] Get:60 http://ftpmaster.internal/ubuntu groovy/main riscv64 zlib1g-dev riscv64 1:1.2.11.dfsg-2ubuntu1 [207 kB] Get:61 http://ftpmaster.internal/ubuntu groovy/main riscv64 tcl8.6-dev riscv64 8.6.10+dfsg-1 [1831 kB] Get:62 http://ftpmaster.internal/ubuntu groovy/universe riscv64 tcl-dev riscv64 8.6.9+1 [5756 B] Get:63 http://ftpmaster.internal/ubuntu groovy/universe riscv64 txt2man all 1.7.1-1 [34.3 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 33.8 MB in 6s (5368 kB/s) Selecting previously unselected package libpython3.8-minimal:riscv64. (Reading database ... 10872 files and directories currently installed.) Preparing to unpack .../libpython3.8-minimal_3.8.5-2_riscv64.deb ... Unpacking libpython3.8-minimal:riscv64 (3.8.5-2) ... Selecting previously unselected package libexpat1:riscv64. Preparing to unpack .../libexpat1_2.2.9-1build1_riscv64.deb ... Unpacking libexpat1:riscv64 (2.2.9-1build1) ... Selecting previously unselected package python3.8-minimal. Preparing to unpack .../python3.8-minimal_3.8.5-2_riscv64.deb ... Unpacking python3.8-minimal (3.8.5-2) ... Setting up libpython3.8-minimal:riscv64 (3.8.5-2) ... Setting up libexpat1:riscv64 (2.2.9-1build1) ... Setting up python3.8-minimal (3.8.5-2) ... Selecting previously unselected package python3-minimal. (Reading database ... 11163 files and directories currently installed.) Preparing to unpack .../python3-minimal_3.8.2-0ubuntu2_riscv64.deb ... Unpacking python3-minimal (3.8.2-0ubuntu2) ... Selecting previously unselected package mime-support. Preparing to unpack .../mime-support_3.64ubuntu1_all.deb ... Unpacking mime-support (3.64ubuntu1) ... Selecting previously unselected package libpython3.8-stdlib:riscv64. Preparing to unpack .../libpython3.8-stdlib_3.8.5-2_riscv64.deb ... Unpacking libpython3.8-stdlib:riscv64 (3.8.5-2) ... Selecting previously unselected package python3.8. Preparing to unpack .../python3.8_3.8.5-2_riscv64.deb ... Unpacking python3.8 (3.8.5-2) ... Selecting previously unselected package libpython3-stdlib:riscv64. Preparing to unpack .../libpython3-stdlib_3.8.2-0ubuntu2_riscv64.deb ... Unpacking libpython3-stdlib:riscv64 (3.8.2-0ubuntu2) ... Setting up python3-minimal (3.8.2-0ubuntu2) ... Selecting previously unselected package python3. (Reading database ... 11560 files and directories currently installed.) Preparing to unpack .../0-python3_3.8.2-0ubuntu2_riscv64.deb ... Unpacking python3 (3.8.2-0ubuntu2) ... Selecting previously unselected package libuchardet0:riscv64. Preparing to unpack .../1-libuchardet0_0.0.7-1_riscv64.deb ... Unpacking libuchardet0:riscv64 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../2-groff-base_1.22.4-5_riscv64.deb ... Unpacking groff-base (1.22.4-5) ... Selecting previously unselected package libpipeline1:riscv64. Preparing to unpack .../3-libpipeline1_1.5.3-1_riscv64.deb ... Unpacking libpipeline1:riscv64 (1.5.3-1) ... Selecting previously unselected package man-db. Preparing to unpack .../4-man-db_2.9.3-2_riscv64.deb ... Unpacking man-db (2.9.3-2) ... Selecting previously unselected package libsigsegv2:riscv64. Preparing to unpack .../5-libsigsegv2_2.12-2build1_riscv64.deb ... Unpacking libsigsegv2:riscv64 (2.12-2build1) ... Selecting previously unselected package m4. Preparing to unpack .../6-m4_1.4.18-4_riscv64.deb ... Unpacking m4 (1.4.18-4) ... Selecting previously unselected package flex. Preparing to unpack .../7-flex_2.6.4-8_riscv64.deb ... Unpacking flex (2.6.4-8) ... Setting up libsigsegv2:riscv64 (2.12-2build1) ... Selecting previously unselected package gawk. (Reading database ... 12195 files and directories currently installed.) Preparing to unpack .../00-gawk_1%3a5.0.1+dfsg-1_riscv64.deb ... Unpacking gawk (1:5.0.1+dfsg-1) ... Selecting previously unselected package libncurses6:riscv64. Preparing to unpack .../01-libncurses6_6.2-1_riscv64.deb ... Unpacking libncurses6:riscv64 (6.2-1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../02-libmagic-mgc_1%3a5.38-5_riscv64.deb ... Unpacking libmagic-mgc (1:5.38-5) ... Selecting previously unselected package libmagic1:riscv64. Preparing to unpack .../03-libmagic1_1%3a5.38-5_riscv64.deb ... Unpacking libmagic1:riscv64 (1:5.38-5) ... Selecting previously unselected package file. Preparing to unpack .../04-file_1%3a5.38-5_riscv64.deb ... Unpacking file (1:5.38-5) ... Selecting previously unselected package libelf1:riscv64. Preparing to unpack .../05-libelf1_0.180-1_riscv64.deb ... Unpacking libelf1:riscv64 (0.180-1) ... Selecting previously unselected package libglib2.0-0:riscv64. Preparing to unpack .../06-libglib2.0-0_2.64.4-1_riscv64.deb ... Unpacking libglib2.0-0:riscv64 (2.64.4-1) ... Selecting previously unselected package libicu67:riscv64. Preparing to unpack .../07-libicu67_67.1-4_riscv64.deb ... Unpacking libicu67:riscv64 (67.1-4) ... Selecting previously unselected package libxml2:riscv64. Preparing to unpack .../08-libxml2_2.9.10+dfsg-5build1_riscv64.deb ... Unpacking libxml2:riscv64 (2.9.10+dfsg-5build1) ... Selecting previously unselected package tzdata. Preparing to unpack .../09-tzdata_2020a-0ubuntu1_all.deb ... Unpacking tzdata (2020a-0ubuntu1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../10-gettext-base_0.19.8.1-10build1_riscv64.deb ... Unpacking gettext-base (0.19.8.1-10build1) ... Selecting previously unselected package autoconf. Preparing to unpack .../11-autoconf_2.69-11.1_all.deb ... Unpacking autoconf (2.69-11.1) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../12-autotools-dev_20180224.1_all.deb ... Unpacking autotools-dev (20180224.1) ... Selecting previously unselected package automake. Preparing to unpack .../13-automake_1%3a1.16.2-3ubuntu2_all.deb ... Unpacking automake (1:1.16.2-3ubuntu2) ... Selecting previously unselected package autopoint. Preparing to unpack .../14-autopoint_0.19.8.1-10build1_all.deb ... Unpacking autopoint (0.19.8.1-10build1) ... Selecting previously unselected package berkeley-abc. Preparing to unpack .../15-berkeley-abc_1.01+20191006git52a8ebb+dfsg-1build1_riscv64.deb ... Unpacking berkeley-abc (1.01+20191006git52a8ebb+dfsg-1build1) ... Selecting previously unselected package bison. Preparing to unpack .../16-bison_2%3a3.7+dfsg-1_riscv64.deb ... Unpacking bison (2:3.7+dfsg-1) ... Selecting previously unselected package libtool. Preparing to unpack .../17-libtool_2.4.6-14_all.deb ... Unpacking libtool (2.4.6-14) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../18-dh-autoreconf_19_all.deb ... Unpacking dh-autoreconf (19) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../19-libdebhelper-perl_13.2ubuntu1_all.deb ... Unpacking libdebhelper-perl (13.2ubuntu1) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../20-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../21-libsub-override-perl_0.09-2_all.deb ... Unpacking libsub-override-perl (0.09-2) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../22-libfile-stripnondeterminism-perl_1.9.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.9.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../23-dh-strip-nondeterminism_1.9.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.9.0-1) ... Selecting previously unselected package dwz. Preparing to unpack .../24-dwz_0.13-5_riscv64.deb ... Unpacking dwz (0.13-5) ... Selecting previously unselected package libcroco3:riscv64. Preparing to unpack .../25-libcroco3_0.6.13-1_riscv64.deb ... Unpacking libcroco3:riscv64 (0.6.13-1) ... Selecting previously unselected package gettext. Preparing to unpack .../26-gettext_0.19.8.1-10build1_riscv64.deb ... Unpacking gettext (0.19.8.1-10build1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../27-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../28-po-debconf_1.0.21_all.deb ... Unpacking po-debconf (1.0.21) ... Selecting previously unselected package debhelper. Preparing to unpack .../29-debhelper_13.2ubuntu1_all.deb ... Unpacking debhelper (13.2ubuntu1) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../30-python3-lib2to3_3.8.5-1_all.deb ... Unpacking python3-lib2to3 (3.8.5-1) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../31-python3-distutils_3.8.5-1_all.deb ... Unpacking python3-distutils (3.8.5-1) ... Selecting previously unselected package dh-python. Preparing to unpack .../32-dh-python_4.20200804ubuntu3_all.deb ... Unpacking dh-python (4.20200804ubuntu3) ... Selecting previously unselected package iverilog. Preparing to unpack .../33-iverilog_10.3-2_riscv64.deb ... Unpacking iverilog (10.3-2) ... Selecting previously unselected package libffi8ubuntu1:riscv64. Preparing to unpack .../34-libffi8ubuntu1_3.4~20200819gead65ca871-0ubuntu3_riscv64.deb ... Unpacking libffi8ubuntu1:riscv64 (3.4~20200819gead65ca871-0ubuntu3) ... Selecting previously unselected package libffi-dev:riscv64. Preparing to unpack .../35-libffi-dev_3.4~20200819gead65ca871-0ubuntu3_riscv64.deb ... Unpacking libffi-dev:riscv64 (3.4~20200819gead65ca871-0ubuntu3) ... Selecting previously unselected package libncurses-dev:riscv64. Preparing to unpack .../36-libncurses-dev_6.2-1_riscv64.deb ... Unpacking libncurses-dev:riscv64 (6.2-1) ... Selecting previously unselected package libreadline-dev:riscv64. Preparing to unpack .../37-libreadline-dev_8.0-4_riscv64.deb ... Unpacking libreadline-dev:riscv64 (8.0-4) ... Selecting previously unselected package libtcl8.6:riscv64. Preparing to unpack .../38-libtcl8.6_8.6.10+dfsg-1_riscv64.deb ... Unpacking libtcl8.6:riscv64 (8.6.10+dfsg-1) ... Selecting previously unselected package pkg-config. Preparing to unpack .../39-pkg-config_0.29.2-1ubuntu1_riscv64.deb ... Unpacking pkg-config (0.29.2-1ubuntu1) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../40-tcl8.6_8.6.10+dfsg-1_riscv64.deb ... Unpacking tcl8.6 (8.6.10+dfsg-1) ... Selecting previously unselected package tcl. Preparing to unpack .../41-tcl_8.6.9+1_riscv64.deb ... Unpacking tcl (8.6.9+1) ... Selecting previously unselected package zlib1g-dev:riscv64. Preparing to unpack .../42-zlib1g-dev_1%3a1.2.11.dfsg-2ubuntu1_riscv64.deb ... Unpacking zlib1g-dev:riscv64 (1:1.2.11.dfsg-2ubuntu1) ... Selecting previously unselected package tcl8.6-dev:riscv64. Preparing to unpack .../43-tcl8.6-dev_8.6.10+dfsg-1_riscv64.deb ... Unpacking tcl8.6-dev:riscv64 (8.6.10+dfsg-1) ... Selecting previously unselected package tcl-dev:riscv64. Preparing to unpack .../44-tcl-dev_8.6.9+1_riscv64.deb ... Unpacking tcl-dev:riscv64 (8.6.9+1) ... Selecting previously unselected package txt2man. Preparing to unpack .../45-txt2man_1.7.1-1_all.deb ... Unpacking txt2man (1.7.1-1) ... Selecting previously unselected package sbuild-build-depends-main-dummy. Preparing to unpack .../46-sbuild-build-depends-main-dummy_0.invalid.0_riscv64.deb ... Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ... Setting up libpipeline1:riscv64 (1.5.3-1) ... Setting up mime-support (3.64ubuntu1) ... Setting up libicu67:riscv64 (67.1-4) ... Setting up libmagic-mgc (1:5.38-5) ... Setting up gawk (1:5.0.1+dfsg-1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:riscv64 (2.64.4-1) ... No schema files found: doing nothing. Setting up libdebhelper-perl (13.2ubuntu1) ... Setting up libmagic1:riscv64 (1:5.38-5) ... Setting up gettext-base (0.19.8.1-10build1) ... Setting up m4 (1.4.18-4) ... Setting up libffi8ubuntu1:riscv64 (3.4~20200819gead65ca871-0ubuntu3) ... Setting up file (1:5.38-5) ... Setting up libffi-dev:riscv64 (3.4~20200819gead65ca871-0ubuntu3) ... Setting up berkeley-abc (1.01+20191006git52a8ebb+dfsg-1build1) ... Setting up tzdata (2020a-0ubuntu1) ... Current default time zone: 'Etc/UTC' Local time is now: Mon Aug 24 20:54:21 UTC 2020. Universal Time is now: Mon Aug 24 20:54:21 UTC 2020. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up iverilog (10.3-2) ... Setting up autotools-dev (20180224.1) ... Setting up libncurses6:riscv64 (6.2-1) ... Setting up libtcl8.6:riscv64 (8.6.10+dfsg-1) ... Setting up autopoint (0.19.8.1-10build1) ... Setting up pkg-config (0.29.2-1ubuntu1) ... Setting up autoconf (2.69-11.1) ... Setting up zlib1g-dev:riscv64 (1:1.2.11.dfsg-2ubuntu1) ... Setting up libuchardet0:riscv64 (0.0.7-1) ... Setting up bison (2:3.7+dfsg-1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up libsub-override-perl (0.09-2) ... Setting up libpython3.8-stdlib:riscv64 (3.8.5-2) ... Setting up python3.8 (3.8.5-2) ... Setting up libelf1:riscv64 (0.180-1) ... Setting up libxml2:riscv64 (2.9.10+dfsg-5build1) ... Setting up libpython3-stdlib:riscv64 (3.8.2-0ubuntu2) ... Setting up automake (1:1.16.2-3ubuntu2) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.9.0-1) ... Setting up flex (2.6.4-8) ... Setting up tcl8.6 (8.6.10+dfsg-1) ... Setting up libncurses-dev:riscv64 (6.2-1) ... Setting up txt2man (1.7.1-1) ... Setting up libtool (2.4.6-14) ... Setting up tcl8.6-dev:riscv64 (8.6.10+dfsg-1) ... Setting up python3 (3.8.2-0ubuntu2) ... Setting up libreadline-dev:riscv64 (8.0-4) ... Setting up libcroco3:riscv64 (0.6.13-1) ... Setting up dh-strip-nondeterminism (1.9.0-1) ... Setting up dwz (0.13-5) ... Setting up groff-base (1.22.4-5) ... Setting up tcl (8.6.9+1) ... Setting up python3-lib2to3 (3.8.5-1) ... Setting up python3-distutils (3.8.5-1) ... Setting up dh-python (4.20200804ubuntu3) ... Setting up gettext (0.19.8.1-10build1) ... Setting up man-db (2.9.3-2) ... Not building database; man-db/auto-update is not 'true'. Setting up intltool-debian (0.35.0+20060710.5) ... Setting up tcl-dev:riscv64 (8.6.9+1) ... Setting up po-debconf (1.0.21) ... Setting up dh-autoreconf (19) ... Setting up debhelper (13.2ubuntu1) ... Setting up sbuild-build-depends-main-dummy (0.invalid.0) ... Processing triggers for libc-bin (2.31-0ubuntu10) ... +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (riscv64 included in any all) +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 5.4.0-21-generic #25-Ubuntu SMP Tue Mar 31 01:57:06 UTC 2020 riscv64 (riscv64) Toolchain package versions: binutils_2.35-2ubuntu1 dpkg-dev_1.20.5ubuntu1 g++-10_10.2.0-5ubuntu2 g++-9_9.3.0-17ubuntu1 gcc-10_10.2.0-5ubuntu2 gcc-9_9.3.0-17ubuntu1 libc6-dev_2.31-0ubuntu10 libstdc++-10-dev_10.2.0-5ubuntu2 libstdc++-9-dev_9.3.0-17ubuntu1 libstdc++6_10.2.0-5ubuntu2 linux-libc-dev_5.4.0-30.34 Package versions: adduser_3.118ubuntu2 advancecomp_2.1-2.1build1 apt_2.1.10 autoconf_2.69-11.1 automake_1:1.16.2-3ubuntu2 autopoint_0.19.8.1-10build1 autotools-dev_20180224.1 base-files_11ubuntu12 base-passwd_3.5.47 bash_5.0-6ubuntu2 berkeley-abc_1.01+20191006git52a8ebb+dfsg-1build1 binutils_2.35-2ubuntu1 binutils-common_2.35-2ubuntu1 binutils-riscv64-linux-gnu_2.35-2ubuntu1 bison_2:3.7+dfsg-1 bsdextrautils_2.36-2ubuntu1 bsdutils_1:2.36-2ubuntu1 build-essential_12.8ubuntu3 bzip2_1.0.8-4ubuntu2 ca-certificates_20200601 coreutils_8.32-3ubuntu1 cpp_4:10.1.0-1ubuntu1 cpp-10_10.2.0-5ubuntu2 cpp-9_9.3.0-17ubuntu1 dash_0.5.10.2-7 debconf_1.5.74 debhelper_13.2ubuntu1 debianutils_4.11.1 dh-autoreconf_19 dh-python_4.20200804ubuntu3 dh-strip-nondeterminism_1.9.0-1 diffutils_1:3.7-3build1 dpkg_1.20.5ubuntu1 dpkg-dev_1.20.5ubuntu1 dwz_0.13-5 e2fsprogs_1.45.6-1ubuntu1 fakeroot_1.24-1 file_1:5.38-5 findutils_4.7.0-1ubuntu1 flex_2.6.4-8 g++_4:10.1.0-1ubuntu1 g++-10_10.2.0-5ubuntu2 g++-9_9.3.0-17ubuntu1 gawk_1:5.0.1+dfsg-1 gcc_4:10.1.0-1ubuntu1 gcc-10_10.2.0-5ubuntu2 gcc-10-base_10.2.0-5ubuntu2 gcc-8-base_8.4.0-4ubuntu1 gcc-9_9.3.0-17ubuntu1 gcc-9-base_9.3.0-17ubuntu1 gettext_0.19.8.1-10build1 gettext-base_0.19.8.1-10build1 gpg_2.2.20-1ubuntu1 gpg-agent_2.2.20-1ubuntu1 gpgconf_2.2.20-1ubuntu1 gpgv_2.2.20-1ubuntu1 grep_3.4-1 groff-base_1.22.4-5 gzip_1.10-2ubuntu1 hostname_3.23 init-system-helpers_1.58 intltool-debian_0.35.0+20060710.5 iverilog_10.3-2 libacl1_2.2.53-8 libapt-pkg6.0_2.1.10 libarchive-zip-perl_1.68-1 libassuan0_2.5.3-7.1 libatomic1_10.2.0-5ubuntu2 libattr1_1:2.4.48-5 libaudit-common_1:2.8.5-3ubuntu1 libaudit1_1:2.8.5-3ubuntu1 libbinutils_2.35-2ubuntu1 libblkid1_2.36-2ubuntu1 libbz2-1.0_1.0.8-4ubuntu2 libc-bin_2.31-0ubuntu10 libc-dev-bin_2.31-0ubuntu10 libc6_2.31-0ubuntu10 libc6-dev_2.31-0ubuntu10 libcap-ng0_0.7.9-2.2 libcc1-0_10.2.0-5ubuntu2 libcom-err2_1.45.6-1ubuntu1 libcroco3_0.6.13-1 libcrypt-dev_1:4.4.16-1ubuntu1 libcrypt1_1:4.4.16-1ubuntu1 libctf-nobfd0_2.35-2ubuntu1 libctf0_2.35-2ubuntu1 libdb5.3_5.3.28+dfsg1-0.6ubuntu2 libdebconfclient0_0.252ubuntu1 libdebhelper-perl_13.2ubuntu1 libdpkg-perl_1.20.5ubuntu1 libelf1_0.180-1 libexpat1_2.2.9-1build1 libext2fs2_1.45.6-1ubuntu1 libfakeroot_1.24-1 libffi-dev_3.4~20200819gead65ca871-0ubuntu3 libffi7_3.3-4 libffi8ubuntu1_3.4~20200819gead65ca871-0ubuntu3 libfile-stripnondeterminism-perl_1.9.0-1 libgcc-10-dev_10.2.0-5ubuntu2 libgcc-9-dev_9.3.0-17ubuntu1 libgcc-s1_10.2.0-5ubuntu2 libgcrypt20_1.8.5-5ubuntu2 libgdbm-compat4_1.18.1-5.1 libgdbm6_1.18.1-5.1 libglib2.0-0_2.64.4-1 libgmp10_2:6.2.0+dfsg-6ubuntu1 libgnutls30_3.6.13-4ubuntu4 libgomp1_10.2.0-5ubuntu2 libgpg-error0_1.38-2 libgpm2_1.20.7-6 libhogweed5_3.5.1+really3.5.1-2 libhogweed6_3.6-2 libicu67_67.1-4 libidn2-0_2.3.0-1 libisl22_0.22.1-1 liblocale-gettext-perl_1.07-4 liblockfile-bin_1.16-1.1 liblockfile1_1.16-1.1 liblz4-1_1.9.2-2 liblzma5_5.2.4-1ubuntu1 libmagic-mgc_1:5.38-5 libmagic1_1:5.38-5 libmount1_2.36-2ubuntu1 libmpc3_1.2.0~rc1-1 libmpfr6_4.1.0-3 libncurses-dev_6.2-1 libncurses6_6.2-1 libncursesw6_6.2-1 libnettle7_3.5.1+really3.5.1-2 libnettle8_3.6-2 libnpth0_1.6-2 libp11-kit0_0.23.21-2 libpam-modules_1.3.1-5ubuntu5 libpam-modules-bin_1.3.1-5ubuntu5 libpam-runtime_1.3.1-5ubuntu5 libpam0g_1.3.1-5ubuntu5 libpcre2-8-0_10.34-7 libpcre3_2:8.39-13 libperl5.30_5.30.3-4 libpipeline1_1.5.3-1 libpng16-16_1.6.37-2 libpython3-stdlib_3.8.2-0ubuntu2 libpython3.8-minimal_3.8.5-2 libpython3.8-stdlib_3.8.5-2 libreadline-dev_8.0-4 libreadline8_8.0-4 libselinux1_3.1-2 libsemanage-common_3.1-1 libsemanage1_3.1-1 libsepol1_3.1-1 libsigsegv2_2.12-2build1 libsmartcols1_2.36-2ubuntu1 libsqlite3-0_3.33.0-1 libss2_1.45.6-1ubuntu1 libssl1.1_1.1.1f-1ubuntu3 libstdc++-10-dev_10.2.0-5ubuntu2 libstdc++-9-dev_9.3.0-17ubuntu1 libstdc++6_10.2.0-5ubuntu2 libsub-override-perl_0.09-2 libsystemd0_246-2ubuntu1 libtasn1-6_4.16.0-2 libtcl8.6_8.6.10+dfsg-1 libtext-charwidth-perl_0.04-10 libtext-iconv-perl_1.7-7 libtinfo6_6.2-1 libtool_2.4.6-14 libuchardet0_0.0.7-1 libudev1_246-2ubuntu1 libunistring2_0.9.10-4 libuuid1_2.36-2ubuntu1 libxml2_2.9.10+dfsg-5build1 libzstd1_1.4.5+dfsg-4 linux-libc-dev_5.4.0-30.34 lockfile-progs_0.1.18 login_1:4.8.1-1ubuntu6 logsave_1.45.6-1ubuntu1 lsb-base_11.1.0ubuntu2 m4_1.4.18-4 make_4.3-4ubuntu1 man-db_2.9.3-2 mawk_1.3.4.20200120-2 mime-support_3.64ubuntu1 mount_2.36-2ubuntu1 ncurses-base_6.2-1 ncurses-bin_6.2-1 openssl_1.1.1f-1ubuntu3 optipng_0.7.7-1 passwd_1:4.8.1-1ubuntu6 patch_2.7.6-6 perl_5.30.3-4 perl-base_5.30.3-4 perl-modules-5.30_5.30.3-4 pinentry-curses_1.1.0-4build1 pkg-config_0.29.2-1ubuntu1 pkgbinarymangler_146 po-debconf_1.0.21 policyrcd-script-zg2_0.1-3 python3_3.8.2-0ubuntu2 python3-distutils_3.8.5-1 python3-lib2to3_3.8.5-1 python3-minimal_3.8.2-0ubuntu2 python3.8_3.8.5-2 python3.8-minimal_3.8.5-2 readline-common_8.0-4 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.7-1build1 sensible-utils_0.0.12+nmu1 sysvinit-utils_2.96-3ubuntu1 tar_1.30+dfsg-7 tcl_8.6.9+1 tcl-dev_8.6.9+1 tcl8.6_8.6.10+dfsg-1 tcl8.6-dev_8.6.10+dfsg-1 txt2man_1.7.1-1 tzdata_2020a-0ubuntu1 ubuntu-keyring_2020.06.17.1 util-linux_2.36-2ubuntu1 xz-utils_5.2.4-1ubuntu1 zlib1g_1:1.2.11.dfsg-2ubuntu1 zlib1g-dev_1:1.2.11.dfsg-2ubuntu1 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: yosys Binary: yosys, yosys-dev, yosys-doc Architecture: any all Version: 0.9-1build4 Maintainer: Ubuntu Developers Uploaders: Ruben Undheim , Sebastian Kuzminsky Homepage: http://www.clifford.at/yosys Standards-Version: 4.4.0 Vcs-Browser: https://salsa.debian.org/science-team/yosys Vcs-Git: https://salsa.debian.org/science-team/yosys.git Testsuite: autopkgtest Build-Depends: debhelper-compat (= 12), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) Build-Depends-Indep: texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, lmodern, graphviz Package-List: yosys deb electronics optional arch=any yosys-dev deb electronics optional arch=any yosys-doc deb doc optional arch=all Checksums-Sha1: eea21a3ce147d7d3bba3f6e32c9ca2e6b452345a 1299545 yosys_0.9.orig.tar.gz 885e91058d0733273d598e69c7de5b55cf5054c5 17468 yosys_0.9-1build4.debian.tar.xz Checksums-Sha256: f2e31371f9cf1b36cb4f57b23fd6eb849adc7d935dcf49f3c905aa5136382c2f 1299545 yosys_0.9.orig.tar.gz 742abd740157116bbd2e1ddb55657f813148754ffa61c728f7f5cccb3c36afb3 17468 yosys_0.9-1build4.debian.tar.xz Files: 88518fa1d41caf4458d63bae220aa6fd 1299545 yosys_0.9.orig.tar.gz 1cd6d3b932f98c39b9b5c5997879af8d 17468 yosys_0.9-1build4.debian.tar.xz Original-Maintainer: Debian Science Maintainers -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEE7iQKBSojGtiSWEHXm47ISdXvcO0FAl9EETIACgkQm47ISdXv cO0lvBAAspeuBuWhzZp7qyndx5yJLjMMbDjnFKrLnkYhGRu51wkFdSIWrQdtA2+3 OvhMoRr0FgvSR4eypC21Z4hCXiJHuBk80ciuiDm507n8are4MUBIq8xfwl+usIJB EGbQJe4jXb2pm6FqKCrLdpM7//E9im2Po0dgSQhX3ynbWWqAqA//XwFruzDdEYBf v2IpHtbvN2UOI1MyUZ6kEpuBXkTqs+qCRUYEP2CM0rMxozTCvODpi0+tdskmQonR n4lhCWLGSVPbJsDkare5t6P9kRsKjWvdeqyLp8ctYxiNtP5DeTw2dY8S+GZam5Nn Rh+m5kMP7qgwNgj526baeCVoSKtnr1oHE+Zu33kjApvEpzm/3CD97FJZTT7xirIT 2t3zH6g2Zy6e+lbE9zzeTEK6wWUjuRXrQuDoOxIu/G2HJPtnP0UaEHfld8mKfSiK +aDMX8RUFwUoVYaK7yhd6Wr64b7ge9H5xxfZDHhIsiEui17mzTBGpZD5zT2Nltxp wnhpedCDkgJsEz6YKyJ1zLl3T+lUsGr5SUZeV11hWZBFpMYtEAZc1hms4ipONLvm mSR24mnv683fzi/8ffVd6az/NZcewXNpBV2BDDSkh5gaXVd3Kr9alBljjDTJmkM1 IH00dgpiDEbI4TD8rbH9KKR39e6LnVNWRlSJV0pTlajF7gDSCkg= =i5B8 -----END PGP SIGNATURE----- gpgv: Signature made Mon Aug 24 19:12:50 2020 UTC gpgv: using RSA key EE240A052A231AD8925841D79B8EC849D5EF70ED gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./yosys_0.9-1build4.dsc dpkg-source: info: extracting yosys in /<> dpkg-source: info: unpacking yosys_0.9.orig.tar.gz dpkg-source: info: unpacking yosys_0.9-1build4.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying 01_gitrevision.patch dpkg-source: info: applying 02_removeabc.patch dpkg-source: info: applying 05_abc_executable.patch dpkg-source: info: applying switch-to-free-font.patch dpkg-source: info: applying manual-build.patch dpkg-source: info: applying kfreebsd-support.patch dpkg-source: info: applying 0007-Disable-pretty-build.patch dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch dpkg-source: info: applying 0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch dpkg-source: info: applying 0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch dpkg-source: info: applying 0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch dpkg-source: info: applying 0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=8 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-19871871 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-19871871 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-19871871 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- Command: dpkg-buildpackage -us -uc -mLaunchpad Build Daemon -B -rfakeroot dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.9-1build4 dpkg-buildpackage: info: source distribution groovy dpkg-source --before-build . dpkg-buildpackage: info: host architecture riscv64 fakeroot debian/rules clean PREFIX=/usr dh clean --with=python3 debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' dh_auto_clean make -j8 clean make[2]: Entering directory '/<>' rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f rm -f kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make[2]: Leaving directory '/<>' rm -f debian/man/*.1 rm -f Makefile.conf make[1]: Leaving directory '/<>' dh_clean debian/rules build-arch PREFIX=/usr dh build-arch --with=python3 dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' make config-gcc make[2]: Entering directory '/<>' rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f rm -f kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata echo 'CONFIG := gcc' > Makefile.conf make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/<>' dh_auto_build --parallel -- all make -j8 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 1979e0b)\"; }" > kernel/version_1979e0b.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SimpSolver.cc:92:28: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’ libs/minisat/Solver.cc:134:35: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/ilang/ bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_rmdff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/techmap/ echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new echo "0};" >> passes/techmap/techmap.inc.new mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffsr2dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffs.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/ilang/ gcc -o backends/ilang/ilang_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_determine_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_determine_init.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/ecp5_ffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_ffinit.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/determine_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/determine_init.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffssr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc mkdir -p techlibs/ice40/ mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc gcc -o techlibs/ice40/ice40_unlut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_unlut.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/sf2_iobs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/sf2_iobs.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc sed -e 's#@CXXFLAGS@#-g -O2 -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/backends/ilang/ cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams.txt share/anlogic/drams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams_map.v share/anlogic/drams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/dram_init_16x4.vh share/anlogic/dram_init_16x4.vh mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/bram.txt share/ecp5/bram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_init.py mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_connect.py mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin touch techlibs/ecp5/brams_init.mk mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin mkdir -p share/gowin cp "./"/techlibs/gowin/bram.txt share/gowin/bram.txt cp "./"/techlibs/gowin/drams_map.v share/gowin/drams_map.v mkdir -p share/gowin touch techlibs/ecp5/brams_connect.mk mkdir -p share/gowin cp "./"/techlibs/gowin/dram.txt share/gowin/dram.txt mkdir -p share/greenpak4 cp "./"/techlibs/gowin/brams_init3.vh share/gowin/brams_init3.vh cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p techlibs/ice40 mkdir -p share/intel/common python3 techlibs/ice40/brams_init.py mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v mkdir -p share/intel/max10 mkdir -p share/intel/a10gx cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 mkdir -p share/intel/a10gx cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v touch techlibs/ice40/brams_init.mk mkdir -p share/sf2 mkdir -p share/sf2 mkdir -p share/xilinx cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v mkdir -p share/xilinx mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx mkdir -p techlibs/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v python3 techlibs/xilinx/brams_init.py mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/version_1979e0b.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_1979e0b.cc gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc touch techlibs/xilinx/brams_init.mk mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -L/usr/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_1_2_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_9_18_36.vh share/ecp5/bram_init_9_18_36.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_1.vh share/ecp5/bram_conn_1.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_2.vh share/ecp5/bram_conn_2.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_4.vh share/ecp5/bram_conn_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_9.vh share/ecp5/bram_conn_9.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_18.vh share/ecp5/bram_conn_18.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh gcc -o yosys -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -L/usr/lib -rdynamic kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 Build successful. make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' dh_auto_test -a make -j8 test make[1]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "" make[2]: Entering directory '/<>/tests/simple' Test: always02 -> ok Test: always01 -> ok Test: aes_kexp128 -> ok Test: always03 -> ok Test: arrays01 -> ok Test: arrays02 -> ok Test: arraycells -> ok Test: defvalue -> ok Test: attrib02_port_decl -> ok Test: attrib01_module -> ok Test: attrib04_net_var -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: attrib06_operator_suffix -> ok Test: carryadd -> ok Test: attrib03_parameter -> ok Test: constpower -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: fiedler-cooley -> ok Test: dff_init -> ok Test: forloops -> ok Test: fsm -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: dff_different_styles -> ok Test: localparam_attr -> ok Test: constmuldivmod -> ok Test: implicit_ports -> ok Test: i2c_master_tests -> ok Test: hierdefparam -> ok Test: loops -> ok Test: macros -> ok Test: omsp_dbg_uart -> ok Test: mem_arst -> ok Test: muxtree -> ok Test: param_attr -> ok Test: multiplier -> ok Test: mem2reg -> ok Test: peepopt -> ok Test: realexpr -> ok Test: paramods -> ok Test: retime -> ok Test: process -> ok Test: signedexpr -> ok Test: scopes -> ok Test: specify -> ok Test: repwhile -> ok Test: generate -> ok Test: undef_eqx_nex -> ok Test: partsel -> ok Test: subbytes -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: task_func -> ok Test: wandwor -> ok Test: vloghammer -> ok Test: sincos -> ok Test: wreduce -> ok Test: rotate -> ok Test: operators -> ok Test: memory -> ok make[2]: Leaving directory '/<>/tests/simple' cd tests/hana && bash run-test.sh "" make[2]: Entering directory '/<>/tests/hana' Test: test_simulation_buffer -> ok Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_and -> ok Test: test_simulation_inc -> ok Test: test_simulation_nand -> ok Test: test_simulation_always -> ok Test: test_simulation_nor -> ok Test: test_simulation_seq -> ok Test: test_simulation_or -> ok Test: test_simulation_vlib -> ok Test: test_simulation_mux -> ok Test: test_simulation_sop -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok Test: test_simulation_techmap -> ok Test: test_simulation_decoder -> ok Test: test_intermout -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_shifter -> ok make[2]: Leaving directory '/<>/tests/hana' cd tests/asicworld && bash run-test.sh "" make[2]: Entering directory '/<>/tests/asicworld' Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_up_counter -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_nonblocking -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_hdl_models_uart -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_which_clock -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_hdl_models_cam -> ok make[2]: Leaving directory '/<>/tests/asicworld' #+cd tests/realmath && bash run-test.sh "" cd tests/share && bash run-test.sh "" generating tests.. running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/fsm && bash run-test.sh "" generating tests.. running tests.. make[2]: Entering directory '/<>/tests/fsm' [0][1][2][3][4][5][6][7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]T[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]T[54]K[55]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[56]KK[57][58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]KK[75][76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]KKKKKKKK make[2]: Leaving directory '/<>/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. cd tests/memories && bash run-test.sh "" "" Test: amber23_sram_byte_en -> ok Test: firrtl_938 -> ok Test: implicit_en -> ok Test: issue00335 -> ok Test: issue00710 -> ok Test: no_implicit_en -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "" generating tests.. PRNG seed: 182254 running tests.. make[2]: Entering directory '/<>/tests/bram' Passed memory_bram test 00_01. Passed memory_bram test 00_04. Passed memory_bram test 00_03. Passed memory_bram test 01_00. Passed memory_bram test 01_04. Passed memory_bram test 00_02. Passed memory_bram test 01_03. Passed memory_bram test 02_01. Passed memory_bram test 02_00. Passed memory_bram test 03_01. Passed memory_bram test 03_00. Passed memory_bram test 02_04. Passed memory_bram test 02_03. Passed memory_bram test 01_02. Passed memory_bram test 03_04. Passed memory_bram test 04_01. Passed memory_bram test 03_02. Passed memory_bram test 04_03. Passed memory_bram test 04_00. Passed memory_bram test 04_02. make[2]: Leaving directory '/<>/tests/bram' cd tests/various && bash run-test.sh Running attrib05_port_conn.ys.. Running attrib07_func_call.ys.. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:17. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:18. Running constmsk_test.ys.. constmsk_testmap.v:45: Warning: Range [1:0] select out of bounds on signal `\tmp': Setting 1 MSB bits to undef. Running elab_sys_tasks.ys.. elab_sys_tasks.sv:8: Warning: X is 1. elab_sys_tasks.sv:22: Warning: Running muxcover.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/simcells.v:437) Running muxpack.ys.. Running opt_rmdff.ys.. Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver. Running pmux2shiftx.ys.. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:39. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:40. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:41. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:42. Running reg_wire_error.ys.. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29. Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35. Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62. Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69. Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 Running shregmap.ys.. /* Generated by Yosys 0.9 (git sha1 1979e0b) */ (* top = 1 *) (* src = "shregmap.v:24" *) module shregmap_variable_test(i, clk, l1, l2, q); wire \$auto$shregmap.cc:228:fixup$96 ; wire \$auto$shregmap.cc:228:fixup$98 ; wire \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 ; (* src = "shregmap.v:24" *) input clk; (* init = 1'h0 *) (* src = "shregmap.v:25" *) wire head; (* src = "shregmap.v:24" *) input i; (* src = "shregmap.v:24" *) input [1:0] l1; (* src = "shregmap.v:24" *) input [1:0] l2; (* src = "shregmap.v:24" *) output [1:0] q; (* src = "shregmap.v:26" *) wire [3:0] shift1; (* src = "shregmap.v:27" *) wire [3:0] shift2; (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$95 ( .C(clk), .D(head), .L(l1), .Q(q[0]) ); (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$97 ( .C(clk), .D(head), .L(l2), .Q(q[1]) ); (* src = "shregmap.v:29" *) \$_DFF_P_ \$auto$simplemap.cc:420:simplemap_dff$93 ( .C(clk), .D(i), .Q(head) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$8 ( .A(shift1), .B(l1), .Y(\$auto$shregmap.cc:228:fixup$96 ) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$9 ( .A(shift2), .B(l2), .Y(\$auto$shregmap.cc:228:fixup$98 ) ); assign \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 = head; endmodule Running signext.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Test: svinterface_at_top -> ok cd tests/opt && bash run-test.sh Running opt_expr_cmp.ys.. Running opt_ff.ys.. Running opt_lut.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:31) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:76) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:77) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:1193) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:1194) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:1256) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:1257) Warning: Yosys has only limited support for tri-state logic at the moment. (/<>/share/ice40/cells_sim.v:1258) Running opt_lut_elim.ys.. Running opt_lut_port.ys.. cd tests/aiger && bash run-test.sh "" Checking and_.aag. Checking buffer.aag. Checking cnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aag. Checking empty.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aag. Checking inverter.aag. Checking notcnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aag. Checking or_.aag. Checking toggle-re.aag. Checking toggle.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking and_.aig. Checking buffer.aig. Checking cnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aig. Checking empty.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aig. Checking inverter.aig. Checking notcnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aig. Checking or_.aig. Checking toggle-re.aig. Checking toggle.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. cd tests/arch && bash run-test.sh Running syntax check on arch sim models Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -> ok Test ../../techlibs/intel/cyclone10/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/a10gx/cells_sim.v -> ok Test ../../techlibs/intel/cyclonev/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v -> ok Passed "make test". make[1]: Leaving directory '/<>' create-stamp debian/debhelper-build-stamp fakeroot debian/rules binary-arch PREFIX=/usr dh binary-arch --with=python3 dh_testroot -a dh_prep -a debian/rules override_dh_auto_install make[1]: Entering directory '/<>' dh_auto_install make -j8 install DESTDIR=/<>/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc mkdir -p /<>/debian/tmp/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc /<>/debian/tmp/usr/bin mkdir -p /<>/debian/tmp/usr/share/yosys cp -r share/. /<>/debian/tmp/usr/share/yosys/. make[2]: Leaving directory '/<>' chmod a-x debian/tmp/usr/share/yosys/achronix/speedster22i/cells*.v make[1]: Leaving directory '/<>' dh_install -a dh_installdocs -a dh_installchangelogs -a debian/rules override_dh_installman make[1]: Entering directory '/<>' cd debian/man ; CHANGELOG_DATE="24 August 2020" ./genmanpages.sh dh_installman make[1]: Leaving directory '/<>' dh_python3 -a I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a debian/rules override_dh_compress make[1]: Entering directory '/<>' dh_compress --exclude=.pdf make[1]: Leaving directory '/<>' dh_fixperms -a dh_missing -a dh_dwz -a dwz: debian/yosys/usr/bin/yosys: DWARF compression not beneficial - old size 76523633 new size 76963278 dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb -a dh_gencontrol -a dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined dh_md5sums -a dh_builddeb -a INFO: pkgstriptranslations version 146 INFO: pkgstriptranslations version 146 INFO: pkgstriptranslations version 146 pkgstriptranslations: processing yosys-dbgsym (in debian/.debhelper/yosys/dbgsym-root); do_strip: , oemstrip: pkgstriptranslations: processing yosys (in debian/yosys); do_strip: , oemstrip: pkgstriptranslations: processing yosys-dev (in debian/yosys-dev); do_strip: , oemstrip: pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgmaintainermangler: Not overriding Maintainer for domain lists.ubuntu.com pkgstripfiles: processing control file: debian/.debhelper/yosys/dbgsym-root/DEBIAN/control, package yosys-dbgsym, directory debian/.debhelper/yosys/dbgsym-root dpkg-deb: building package 'yosys-dbgsym' in 'debian/.debhelper/scratch-space/build-yosys/yosys-dbgsym_0.9-1build4_riscv64.deb'. pkgstripfiles: processing control file: debian/yosys/DEBIAN/control, package yosys, directory debian/yosys pkgstripfiles: processing control file: debian/yosys-dev/DEBIAN/control, package yosys-dev, directory debian/yosys-dev INFO: pkgstripfiles: waiting for lock (yosys-dev) ... pkgstripfiles: Truncating usr/share/doc/yosys/changelog.Debian.gz to topmost ten records pkgstripfiles: Running PNG optimization (using 8 cpus) for package yosys ... pkgstripfiles: No PNG files. dpkg-deb: building package 'yosys' in '../yosys_0.9-1build4_riscv64.deb'. pkgstripfiles: Truncating usr/share/doc/yosys-dev/changelog.Debian.gz to topmost ten records pkgstripfiles: Running PNG optimization (using 8 cpus) for package yosys-dev ... pkgstripfiles: No PNG files. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.9-1build4_riscv64.deb'. Renaming yosys-dbgsym_0.9-1build4_riscv64.deb to yosys-dbgsym_0.9-1build4_riscv64.ddeb dpkg-genbuildinfo --build=any dpkg-genchanges --build=any -mLaunchpad Build Daemon >../yosys_0.9-1build4_riscv64.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2020-08-24T22:08:34Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ yosys_0.9-1build4_riscv64.changes: ---------------------------------- Format: 1.8 Date: Mon, 24 Aug 2020 20:12:47 +0100 Source: yosys Binary: yosys yosys-dev Architecture: riscv64 Version: 0.9-1build4 Distribution: groovy Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Dimitri John Ledkov Description: yosys - Framework for Verilog RTL synthesis yosys-dev - Framework for Verilog RTL synthesis (development files) Changes: yosys (0.9-1build4) groovy; urgency=medium . * No change rebuild against new libffi8ubuntu1 ABI. Checksums-Sha1: f97e14e39bdfa7d4d69af287d1f88df26e2027ad 35980172 yosys-dbgsym_0.9-1build4_riscv64.ddeb 260be1c5f13d8495317648f596821bf734130dbe 49756 yosys-dev_0.9-1build4_riscv64.deb e6dae497acd1e5b4e05deb2e2df7733e471a9aad 6332 yosys_0.9-1build4_riscv64.buildinfo 9a6c2a564819086f9643c1d6da968ebd0f591c3d 2291328 yosys_0.9-1build4_riscv64.deb Checksums-Sha256: 8dcdeefcb9f17d5bec2782f7834257a38acf81b80d166dfdcf6fbe1e425fcbcb 35980172 yosys-dbgsym_0.9-1build4_riscv64.ddeb 4e8b6a1b948ba174f2163e523822ccc8cdb9606f72f1836d1b1d2a8a4be84241 49756 yosys-dev_0.9-1build4_riscv64.deb 8bb9e7e0fbae5f1893bbb059e8c7dd12741c3d6d64a7d200314f496e5f967889 6332 yosys_0.9-1build4_riscv64.buildinfo 2009b4d267a71f8cc26d96367e7c36e22177b1d9cb73674edee4b52f1b120760 2291328 yosys_0.9-1build4_riscv64.deb Files: 031ba16972d3b450101238a53f92c0be 35980172 debug optional yosys-dbgsym_0.9-1build4_riscv64.ddeb 719c33d3f5593cd97a65dbe1c5cffb06 49756 electronics optional yosys-dev_0.9-1build4_riscv64.deb ef9ebb7e78f19231e854bbe75f438588 6332 electronics optional yosys_0.9-1build4_riscv64.buildinfo e5d9af8317c1315997407fbac33854ea 2291328 electronics optional yosys_0.9-1build4_riscv64.deb Original-Maintainer: Debian Science Maintainers /<>/yosys_0.9-1build4_riscv64.changes.new could not be renamed to /<>/yosys_0.9-1build4_riscv64.changes: Illegal seek Distribution field may be wrong!!! +------------------------------------------------------------------------------+ | Buildinfo | +------------------------------------------------------------------------------+ Format: 1.0 Source: yosys Binary: yosys yosys-dbgsym yosys-dev Architecture: riscv64 Version: 0.9-1build4 Checksums-Md5: 031ba16972d3b450101238a53f92c0be 35980172 yosys-dbgsym_0.9-1build4_riscv64.ddeb 719c33d3f5593cd97a65dbe1c5cffb06 49756 yosys-dev_0.9-1build4_riscv64.deb e5d9af8317c1315997407fbac33854ea 2291328 yosys_0.9-1build4_riscv64.deb Checksums-Sha1: f97e14e39bdfa7d4d69af287d1f88df26e2027ad 35980172 yosys-dbgsym_0.9-1build4_riscv64.ddeb 260be1c5f13d8495317648f596821bf734130dbe 49756 yosys-dev_0.9-1build4_riscv64.deb 9a6c2a564819086f9643c1d6da968ebd0f591c3d 2291328 yosys_0.9-1build4_riscv64.deb Checksums-Sha256: 8dcdeefcb9f17d5bec2782f7834257a38acf81b80d166dfdcf6fbe1e425fcbcb 35980172 yosys-dbgsym_0.9-1build4_riscv64.ddeb 4e8b6a1b948ba174f2163e523822ccc8cdb9606f72f1836d1b1d2a8a4be84241 49756 yosys-dev_0.9-1build4_riscv64.deb 2009b4d267a71f8cc26d96367e7c36e22177b1d9cb73674edee4b52f1b120760 2291328 yosys_0.9-1build4_riscv64.deb Build-Origin: Ubuntu Build-Architecture: riscv64 Build-Date: Mon, 24 Aug 2020 22:08:23 +0000 Build-Path: /<> Build-Tainted-By: usr-local-has-programs Installed-Build-Depends: autoconf (= 2.69-11.1), automake (= 1:1.16.2-3ubuntu2), autopoint (= 0.19.8.1-10build1), autotools-dev (= 20180224.1), base-files (= 11ubuntu12), base-passwd (= 3.5.47), bash (= 5.0-6ubuntu2), berkeley-abc (= 1.01+20191006git52a8ebb+dfsg-1build1), binutils (= 2.35-2ubuntu1), binutils-common (= 2.35-2ubuntu1), binutils-riscv64-linux-gnu (= 2.35-2ubuntu1), bison (= 2:3.7+dfsg-1), bsdextrautils (= 2.36-2ubuntu1), bsdutils (= 1:2.36-2ubuntu1), build-essential (= 12.8ubuntu3), bzip2 (= 1.0.8-4ubuntu2), coreutils (= 8.32-3ubuntu1), cpp (= 4:10.1.0-1ubuntu1), cpp-10 (= 10.2.0-5ubuntu2), cpp-9 (= 9.3.0-17ubuntu1), dash (= 0.5.10.2-7), debconf (= 1.5.74), debhelper (= 13.2ubuntu1), debianutils (= 4.11.1), dh-autoreconf (= 19), dh-python (= 4.20200804ubuntu3), dh-strip-nondeterminism (= 1.9.0-1), diffutils (= 1:3.7-3build1), dpkg (= 1.20.5ubuntu1), dpkg-dev (= 1.20.5ubuntu1), dwz (= 0.13-5), file (= 1:5.38-5), findutils (= 4.7.0-1ubuntu1), flex (= 2.6.4-8), g++ (= 4:10.1.0-1ubuntu1), g++-10 (= 10.2.0-5ubuntu2), gawk (= 1:5.0.1+dfsg-1), gcc (= 4:10.1.0-1ubuntu1), gcc-10 (= 10.2.0-5ubuntu2), gcc-10-base (= 10.2.0-5ubuntu2), gcc-9 (= 9.3.0-17ubuntu1), gcc-9-base (= 9.3.0-17ubuntu1), gettext (= 0.19.8.1-10build1), gettext-base (= 0.19.8.1-10build1), grep (= 3.4-1), groff-base (= 1.22.4-5), gzip (= 1.10-2ubuntu1), hostname (= 3.23), init-system-helpers (= 1.58), intltool-debian (= 0.35.0+20060710.5), iverilog (= 10.3-2), libacl1 (= 2.2.53-8), libarchive-zip-perl (= 1.68-1), libatomic1 (= 10.2.0-5ubuntu2), libattr1 (= 1:2.4.48-5), libaudit-common (= 1:2.8.5-3ubuntu1), libaudit1 (= 1:2.8.5-3ubuntu1), libbinutils (= 2.35-2ubuntu1), libblkid1 (= 2.36-2ubuntu1), libbz2-1.0 (= 1.0.8-4ubuntu2), libc-bin (= 2.31-0ubuntu10), libc-dev-bin (= 2.31-0ubuntu10), libc6 (= 2.31-0ubuntu10), libc6-dev (= 2.31-0ubuntu10), libcap-ng0 (= 0.7.9-2.2), libcc1-0 (= 10.2.0-5ubuntu2), libcroco3 (= 0.6.13-1), libcrypt-dev (= 1:4.4.16-1ubuntu1), libcrypt1 (= 1:4.4.16-1ubuntu1), libctf-nobfd0 (= 2.35-2ubuntu1), libctf0 (= 2.35-2ubuntu1), libdb5.3 (= 5.3.28+dfsg1-0.6ubuntu2), libdebconfclient0 (= 0.252ubuntu1), libdebhelper-perl (= 13.2ubuntu1), libdpkg-perl (= 1.20.5ubuntu1), libelf1 (= 0.180-1), libexpat1 (= 2.2.9-1build1), libffi-dev (= 3.4~20200819gead65ca871-0ubuntu3), libffi7 (= 3.3-4), libffi8ubuntu1 (= 3.4~20200819gead65ca871-0ubuntu3), libfile-stripnondeterminism-perl (= 1.9.0-1), libgcc-10-dev (= 10.2.0-5ubuntu2), libgcc-9-dev (= 9.3.0-17ubuntu1), libgcc-s1 (= 10.2.0-5ubuntu2), libgcrypt20 (= 1.8.5-5ubuntu2), libgdbm-compat4 (= 1.18.1-5.1), libgdbm6 (= 1.18.1-5.1), libglib2.0-0 (= 2.64.4-1), libgmp10 (= 2:6.2.0+dfsg-6ubuntu1), libgomp1 (= 10.2.0-5ubuntu2), libgpg-error0 (= 1.38-2), libicu67 (= 67.1-4), libisl22 (= 0.22.1-1), liblz4-1 (= 1.9.2-2), liblzma5 (= 5.2.4-1ubuntu1), libmagic-mgc (= 1:5.38-5), libmagic1 (= 1:5.38-5), libmount1 (= 2.36-2ubuntu1), libmpc3 (= 1.2.0~rc1-1), libmpfr6 (= 4.1.0-3), libncurses-dev (= 6.2-1), libncurses6 (= 6.2-1), libncursesw6 (= 6.2-1), libpam-modules (= 1.3.1-5ubuntu5), libpam-modules-bin (= 1.3.1-5ubuntu5), libpam-runtime (= 1.3.1-5ubuntu5), libpam0g (= 1.3.1-5ubuntu5), libpcre2-8-0 (= 10.34-7), libpcre3 (= 2:8.39-13), libperl5.30 (= 5.30.3-4), libpipeline1 (= 1.5.3-1), libpython3-stdlib (= 3.8.2-0ubuntu2), libpython3.8-minimal (= 3.8.5-2), libpython3.8-stdlib (= 3.8.5-2), libreadline-dev (= 8.0-4), libreadline8 (= 8.0-4), libselinux1 (= 3.1-2), libsigsegv2 (= 2.12-2build1), libsmartcols1 (= 2.36-2ubuntu1), libsqlite3-0 (= 3.33.0-1), libssl1.1 (= 1.1.1f-1ubuntu3), libstdc++-10-dev (= 10.2.0-5ubuntu2), libstdc++6 (= 10.2.0-5ubuntu2), libsub-override-perl (= 0.09-2), libsystemd0 (= 246-2ubuntu1), libtcl8.6 (= 8.6.10+dfsg-1), libtinfo6 (= 6.2-1), libtool (= 2.4.6-14), libuchardet0 (= 0.0.7-1), libudev1 (= 246-2ubuntu1), libunistring2 (= 0.9.10-4), libuuid1 (= 2.36-2ubuntu1), libxml2 (= 2.9.10+dfsg-5build1), libzstd1 (= 1.4.5+dfsg-4), linux-libc-dev (= 5.4.0-30.34), login (= 1:4.8.1-1ubuntu6), lsb-base (= 11.1.0ubuntu2), m4 (= 1.4.18-4), make (= 4.3-4ubuntu1), man-db (= 2.9.3-2), mawk (= 1.3.4.20200120-2), mime-support (= 3.64ubuntu1), ncurses-base (= 6.2-1), ncurses-bin (= 6.2-1), patch (= 2.7.6-6), perl (= 5.30.3-4), perl-base (= 5.30.3-4), perl-modules-5.30 (= 5.30.3-4), pkg-config (= 0.29.2-1ubuntu1), po-debconf (= 1.0.21), python3 (= 3.8.2-0ubuntu2), python3-distutils (= 3.8.5-1), python3-lib2to3 (= 3.8.5-1), python3-minimal (= 3.8.2-0ubuntu2), python3.8 (= 3.8.5-2), python3.8-minimal (= 3.8.5-2), readline-common (= 8.0-4), sed (= 4.7-1build1), sensible-utils (= 0.0.12+nmu1), sysvinit-utils (= 2.96-3ubuntu1), tar (= 1.30+dfsg-7), tcl (= 8.6.9+1), tcl-dev (= 8.6.9+1), tcl8.6 (= 8.6.10+dfsg-1), tcl8.6-dev (= 8.6.10+dfsg-1), txt2man (= 1.7.1-1), tzdata (= 2020a-0ubuntu1), util-linux (= 2.36-2ubuntu1), xz-utils (= 5.2.4-1ubuntu1), zlib1g (= 1:1.2.11.dfsg-2ubuntu1), zlib1g-dev (= 1:1.2.11.dfsg-2ubuntu1) Environment: DEB_BUILD_OPTIONS="parallel=8" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1598296367" +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ yosys-dev_0.9-1build4_riscv64.deb --------------------------------- new Debian package, version 2.0. size 49756 bytes: control archive=1468 bytes. 881 bytes, 20 lines control 1721 bytes, 23 lines md5sums Package: yosys-dev Source: yosys Version: 0.9-1build4 Architecture: riscv64 Maintainer: Ubuntu Developers Installed-Size: 312 Section: electronics Priority: optional Homepage: http://www.clifford.at/yosys Description: Framework for Verilog RTL synthesis (development files) Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . This package contains the headers and programs needed to build yosys plugins. Original-Maintainer: Debian Science Maintainers drwxr-xr-x root/root 0 2020-08-24 19:12 ./ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/bin/ -rwxr-xr-x root/root 3494 2020-08-24 19:12 ./usr/bin/yosys-config drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/doc/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/doc/yosys-dev/ -rw-r--r-- root/root 1394 2020-08-24 19:12 ./usr/share/doc/yosys-dev/changelog.Debian.gz -rw-r--r-- root/root 7751 2019-10-18 21:27 ./usr/share/doc/yosys-dev/copyright drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/man/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/man/man1/ -rw-r--r-- root/root 898 2020-08-24 19:12 ./usr/share/man/man1/yosys-config.1.gz drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/backends/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/backends/ilang/ -rw-r--r-- root/root 2445 2020-08-24 19:12 ./usr/share/yosys/include/backends/ilang/ilang_backend.h drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/frontends/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/frontends/ast/ -rw-r--r-- root/root 11933 2020-08-24 19:12 ./usr/share/yosys/include/frontends/ast/ast.h drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/kernel/ -rw-r--r-- root/root 2220 2020-08-24 19:12 ./usr/share/yosys/include/kernel/celledges.h -rw-r--r-- root/root 15233 2020-08-24 19:12 ./usr/share/yosys/include/kernel/celltypes.h -rw-r--r-- root/root 10129 2020-08-24 19:12 ./usr/share/yosys/include/kernel/consteval.h -rw-r--r-- root/root 25133 2020-08-24 19:12 ./usr/share/yosys/include/kernel/hashlib.h -rw-r--r-- root/root 11783 2020-08-24 19:12 ./usr/share/yosys/include/kernel/log.h -rw-r--r-- root/root 7011 2020-08-24 19:12 ./usr/share/yosys/include/kernel/macc.h -rw-r--r-- root/root 14492 2020-08-24 19:12 ./usr/share/yosys/include/kernel/modtools.h -rw-r--r-- root/root 5132 2020-08-24 19:12 ./usr/share/yosys/include/kernel/register.h -rw-r--r-- root/root 64394 2020-08-24 19:12 ./usr/share/yosys/include/kernel/rtlil.h -rw-r--r-- root/root 53368 2020-08-24 19:12 ./usr/share/yosys/include/kernel/satgen.h -rw-r--r-- root/root 6625 2020-08-24 19:12 ./usr/share/yosys/include/kernel/sigtools.h -rw-r--r-- root/root 5030 2020-08-24 19:12 ./usr/share/yosys/include/kernel/utils.h -rw-r--r-- root/root 10661 2020-08-24 19:12 ./usr/share/yosys/include/kernel/yosys.h drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/libs/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/libs/ezsat/ -rw-r--r-- root/root 2096 2020-08-24 19:12 ./usr/share/yosys/include/libs/ezsat/ezminisat.h -rw-r--r-- root/root 14521 2020-08-24 19:12 ./usr/share/yosys/include/libs/ezsat/ezsat.h drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/libs/sha1/ -rw-r--r-- root/root 1370 2020-08-24 19:12 ./usr/share/yosys/include/libs/sha1/sha1.h drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/passes/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/include/passes/fsm/ -rw-r--r-- root/root 6737 2020-08-24 19:12 ./usr/share/yosys/include/passes/fsm/fsmdata.h yosys_0.9-1build4_riscv64.deb ----------------------------- new Debian package, version 2.0. size 2291328 bytes: control archive=3960 bytes. 970 bytes, 18 lines control 7668 bytes, 110 lines md5sums 267 bytes, 12 lines * postinst #!/bin/sh 384 bytes, 12 lines * prerm #!/bin/sh Package: yosys Version: 0.9-1build4 Architecture: riscv64 Maintainer: Ubuntu Developers Installed-Size: 8394 Depends: libc6 (>= 2.27), libffi8ubuntu1 (>= 3.4~20200819), libgcc-s1 (>= 3.0), libreadline8 (>= 6.0), libstdc++6 (>= 9), libtcl8.6 (>= 8.6.0), python3:any, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg), xdot Section: electronics Priority: optional Homepage: http://www.clifford.at/yosys Description: Framework for Verilog RTL synthesis This is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. Original-Maintainer: Debian Science Maintainers drwxr-xr-x root/root 0 2020-08-24 19:12 ./ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/bin/ -rwxr-xr-x root/root 7244128 2020-08-24 19:12 ./usr/bin/yosys lrwxrwxrwx root/root 0 2020-08-24 19:12 ./usr/bin/yosys-abc -> berkeley-abc -rwxr-xr-x root/root 35576 2020-08-24 19:12 ./usr/bin/yosys-filterlib -rwxr-xr-x root/root 53650 2020-08-24 19:12 ./usr/bin/yosys-smtbmc drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/doc/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/doc/yosys/ -rw-r--r-- root/root 7685 2019-08-26 08:37 ./usr/share/doc/yosys/README.md.gz -rw-r--r-- root/root 1391 2020-08-24 19:12 ./usr/share/doc/yosys/changelog.Debian.gz -rw-r--r-- root/root 7751 2019-10-18 21:27 ./usr/share/doc/yosys/copyright drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/lintian/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 125 2019-10-18 21:27 ./usr/share/lintian/overrides/yosys drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/man/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/man/man1/ -rw-r--r-- root/root 560 2020-08-24 19:12 ./usr/share/man/man1/yosys-abc.1.gz -rw-r--r-- root/root 963 2020-08-24 19:12 ./usr/share/man/man1/yosys-filterlib.1.gz -rw-r--r-- root/root 652 2020-08-24 19:12 ./usr/share/man/man1/yosys-smtbmc.1.gz -rw-r--r-- root/root 1785 2020-08-24 19:12 ./usr/share/man/man1/yosys.1.gz drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/python3/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/python3/runtime.d/ -rwxr-xr-x root/root 125 2020-08-24 19:12 ./usr/share/python3/runtime.d/yosys.rtupdate drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/achronix/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/achronix/speedster22i/ -rw-r--r-- root/root 2605 2020-08-24 19:12 ./usr/share/yosys/achronix/speedster22i/cells_map.v -rw-r--r-- root/root 2359 2020-08-24 19:12 ./usr/share/yosys/achronix/speedster22i/cells_sim.v -rw-r--r-- root/root 520 2020-08-24 19:12 ./usr/share/yosys/adff2dff.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/anlogic/ -rw-r--r-- root/root 2361 2020-08-24 19:12 ./usr/share/yosys/anlogic/arith_map.v -rw-r--r-- root/root 4052 2020-08-24 19:12 ./usr/share/yosys/anlogic/cells_map.v -rw-r--r-- root/root 1604 2020-08-24 19:12 ./usr/share/yosys/anlogic/cells_sim.v -rw-r--r-- root/root 939 2020-08-24 19:12 ./usr/share/yosys/anlogic/dram_init_16x4.vh -rw-r--r-- root/root 201 2020-08-24 19:12 ./usr/share/yosys/anlogic/drams.txt -rw-r--r-- root/root 402 2020-08-24 19:12 ./usr/share/yosys/anlogic/drams_map.v -rw-r--r-- root/root 33586 2020-08-24 19:12 ./usr/share/yosys/anlogic/eagle_bb.v -rw-r--r-- root/root 2432 2020-08-24 19:12 ./usr/share/yosys/cells.lib -rw-r--r-- root/root 2771 2020-08-24 19:12 ./usr/share/yosys/cmp2lut.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/coolrunner2/ -rw-r--r-- root/root 367 2020-08-24 19:12 ./usr/share/yosys/coolrunner2/cells_latch.v -rw-r--r-- root/root 5435 2020-08-24 19:12 ./usr/share/yosys/coolrunner2/cells_sim.v -rw-r--r-- root/root 465 2020-08-24 19:12 ./usr/share/yosys/coolrunner2/tff_extract.v -rw-r--r-- root/root 839 2020-08-24 19:12 ./usr/share/yosys/coolrunner2/xc2_dff.lib -rw-r--r-- root/root 259 2020-08-24 19:12 ./usr/share/yosys/dff2ff.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/ecp5/ -rw-r--r-- root/root 2389 2020-08-24 19:12 ./usr/share/yosys/ecp5/arith_map.v -rw-r--r-- root/root 443 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram.txt -rw-r--r-- root/root 801 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_conn_1.vh -rw-r--r-- root/root 1174 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_conn_18.vh -rw-r--r-- root/root 812 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_conn_2.vh -rw-r--r-- root/root 846 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_conn_4.vh -rw-r--r-- root/root 949 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_conn_9.vh -rw-r--r-- root/root 59136 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_init_1_2_4.vh -rw-r--r-- root/root 30744 2020-08-24 19:12 ./usr/share/yosys/ecp5/bram_init_9_18_36.vh -rw-r--r-- root/root 2969 2020-08-24 19:12 ./usr/share/yosys/ecp5/brams_map.v -rw-r--r-- root/root 26401 2020-08-24 19:12 ./usr/share/yosys/ecp5/cells_bb.v -rw-r--r-- root/root 17543 2020-08-24 19:12 ./usr/share/yosys/ecp5/cells_map.v -rw-r--r-- root/root 23055 2020-08-24 19:12 ./usr/share/yosys/ecp5/cells_sim.v -rw-r--r-- root/root 214 2020-08-24 19:12 ./usr/share/yosys/ecp5/dram.txt -rw-r--r-- root/root 491 2020-08-24 19:12 ./usr/share/yosys/ecp5/drams_map.v -rw-r--r-- root/root 258 2020-08-24 19:12 ./usr/share/yosys/ecp5/latches_map.v -rw-r--r-- root/root 1447 2020-08-24 19:12 ./usr/share/yosys/gate2lut.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/gowin/ -rw-r--r-- root/root 1904 2020-08-24 19:12 ./usr/share/yosys/gowin/arith_map.v -rw-r--r-- root/root 475 2020-08-24 19:12 ./usr/share/yosys/gowin/bram.txt -rw-r--r-- root/root 900 2020-08-24 19:12 ./usr/share/yosys/gowin/brams_init3.vh -rw-r--r-- root/root 2980 2020-08-24 19:12 ./usr/share/yosys/gowin/brams_map.v -rw-r--r-- root/root 1286 2020-08-24 19:12 ./usr/share/yosys/gowin/cells_map.v -rw-r--r-- root/root 9239 2020-08-24 19:12 ./usr/share/yosys/gowin/cells_sim.v -rw-r--r-- root/root 210 2020-08-24 19:12 ./usr/share/yosys/gowin/dram.txt -rw-r--r-- root/root 628 2020-08-24 19:12 ./usr/share/yosys/gowin/drams_map.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/greenpak4/ -rw-r--r-- root/root 365 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_blackbox.v -rw-r--r-- root/root 248 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_latch.v -rw-r--r-- root/root 5258 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_map.v -rw-r--r-- root/root 105 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_sim.v -rw-r--r-- root/root 2072 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_sim_ams.v -rw-r--r-- root/root 15006 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_sim_digital.v -rw-r--r-- root/root 2926 2020-08-24 19:12 ./usr/share/yosys/greenpak4/cells_sim_wip.v -rw-r--r-- root/root 998 2020-08-24 19:12 ./usr/share/yosys/greenpak4/gp_dff.lib drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/ice40/ -rw-r--r-- root/root 2046 2020-08-24 19:12 ./usr/share/yosys/ice40/arith_map.v -rw-r--r-- root/root 534 2020-08-24 19:12 ./usr/share/yosys/ice40/brams.txt -rw-r--r-- root/root 50688 2020-08-24 19:12 ./usr/share/yosys/ice40/brams_init1.vh -rw-r--r-- root/root 50688 2020-08-24 19:12 ./usr/share/yosys/ice40/brams_init2.vh -rw-r--r-- root/root 50688 2020-08-24 19:12 ./usr/share/yosys/ice40/brams_init3.vh -rw-r--r-- root/root 7976 2020-08-24 19:12 ./usr/share/yosys/ice40/brams_map.v -rw-r--r-- root/root 3399 2020-08-24 19:12 ./usr/share/yosys/ice40/cells_map.v -rw-r--r-- root/root 40870 2020-08-24 19:12 ./usr/share/yosys/ice40/cells_sim.v -rw-r--r-- root/root 258 2020-08-24 19:12 ./usr/share/yosys/ice40/latches_map.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/ drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/a10gx/ -rw-r--r-- root/root 2150 2020-08-24 19:12 ./usr/share/yosys/intel/a10gx/cells_map.v -rw-r--r-- root/root 1956 2020-08-24 19:12 ./usr/share/yosys/intel/a10gx/cells_sim.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/common/ -rw-r--r-- root/root 14300 2020-08-24 19:12 ./usr/share/yosys/intel/common/altpll_bb.v -rw-r--r-- root/root 518 2020-08-24 19:12 ./usr/share/yosys/intel/common/brams.txt -rw-r--r-- root/root 4319 2020-08-24 19:12 ./usr/share/yosys/intel/common/brams_map.v -rw-r--r-- root/root 3074 2020-08-24 19:12 ./usr/share/yosys/intel/common/m9k_bb.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/cyclone10/ -rw-r--r-- root/root 5210 2020-08-24 19:12 ./usr/share/yosys/intel/cyclone10/cells_map.v -rw-r--r-- root/root 4371 2020-08-24 19:12 ./usr/share/yosys/intel/cyclone10/cells_sim.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/cycloneiv/ -rw-r--r-- root/root 4070 2020-08-24 19:12 ./usr/share/yosys/intel/cycloneiv/cells_map.v -rw-r--r-- root/root 9688 2020-08-24 19:12 ./usr/share/yosys/intel/cycloneiv/cells_sim.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/cycloneive/ -rw-r--r-- root/root 5205 2020-08-24 19:12 ./usr/share/yosys/intel/cycloneive/cells_map.v -rw-r--r-- root/root 9837 2020-08-24 19:12 ./usr/share/yosys/intel/cycloneive/cells_sim.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/cyclonev/ -rw-r--r-- root/root 6542 2020-08-24 19:12 ./usr/share/yosys/intel/cyclonev/cells_map.v -rw-r--r-- root/root 4880 2020-08-24 19:12 ./usr/share/yosys/intel/cyclonev/cells_sim.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/intel/max10/ -rw-r--r-- root/root 4086 2020-08-24 19:12 ./usr/share/yosys/intel/max10/cells_map.v -rw-r--r-- root/root 9536 2020-08-24 19:12 ./usr/share/yosys/intel/max10/cells_sim.v -rw-r--r-- root/root 342 2020-08-24 19:12 ./usr/share/yosys/pmux2mux.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/sf2/ -rw-r--r-- root/root 878 2020-08-24 19:12 ./usr/share/yosys/sf2/arith_map.v -rw-r--r-- root/root 3921 2020-08-24 19:12 ./usr/share/yosys/sf2/cells_map.v -rw-r--r-- root/root 4013 2020-08-24 19:12 ./usr/share/yosys/sf2/cells_sim.v -rw-r--r-- root/root 31442 2020-08-24 19:12 ./usr/share/yosys/simcells.v -rw-r--r-- root/root 47975 2020-08-24 19:12 ./usr/share/yosys/simlib.v -rw-r--r-- root/root 37941 2020-08-24 19:12 ./usr/share/yosys/smtio.py -rw-r--r-- root/root 11996 2020-08-24 19:12 ./usr/share/yosys/techmap.v drwxr-xr-x root/root 0 2020-08-24 19:12 ./usr/share/yosys/xilinx/ -rw-r--r-- root/root 7875 2020-08-24 19:12 ./usr/share/yosys/xilinx/arith_map.v -rw-r--r-- root/root 1674 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams.txt -rw-r--r-- root/root 22243 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams_bb.v -rw-r--r-- root/root 2048 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams_init_16.vh -rw-r--r-- root/root 81600 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams_init_18.vh -rw-r--r-- root/root 4096 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams_init_32.vh -rw-r--r-- root/root 165200 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams_init_36.vh -rw-r--r-- root/root 8255 2020-08-24 19:12 ./usr/share/yosys/xilinx/brams_map.v -rw-r--r-- root/root 7880 2020-08-24 19:12 ./usr/share/yosys/xilinx/cells_map.v -rw-r--r-- root/root 11284 2020-08-24 19:12 ./usr/share/yosys/xilinx/cells_sim.v -rw-r--r-- root/root 117879 2020-08-24 19:12 ./usr/share/yosys/xilinx/cells_xtra.v -rw-r--r-- root/root 727 2020-08-24 19:12 ./usr/share/yosys/xilinx/drams.txt -rw-r--r-- root/root 1620 2020-08-24 19:12 ./usr/share/yosys/xilinx/drams_map.v -rw-r--r-- root/root 2649 2020-08-24 19:12 ./usr/share/yosys/xilinx/ff_map.v -rw-r--r-- root/root 3199 2020-08-24 19:12 ./usr/share/yosys/xilinx/lut_map.v +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: riscv64 Build Type: any Build-Space: 1345844 Build-Time: 4390 Distribution: groovy Host Architecture: riscv64 Install-Time: 206 Job: yosys_0.9-1build4.dsc Machine Architecture: riscv64 Package: yosys Package-Time: 4632 Source-Version: 0.9-1build4 Space: 1345844 Status: successful Version: 0.9-1build4 -------------------------------------------------------------------------------- Finished at 2020-08-24T22:08:34Z Build needed 01:17:12, 1345844k disk space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 Scanning for processes to kill in build PACKAGEBUILD-19871871 RUN: /usr/share/launchpad-buildd/bin/in-target umount-chroot --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 Stopping target for build PACKAGEBUILD-19871871 RUN: /usr/share/launchpad-buildd/bin/in-target remove-build --backend=chroot --series=groovy --arch=riscv64 PACKAGEBUILD-19871871 Removing build PACKAGEBUILD-19871871