ghdl 4.1.0+dfsg-0ubuntu2 source package in Ubuntu

Changelog

ghdl (4.1.0+dfsg-0ubuntu2) noble; urgency=medium

  * Rename libghdl-4-0-0 to libghdl-4-1-0.

 -- Matthias Klose <email address hidden>  Thu, 18 Apr 2024 08:40:18 +0200

Upload details

Uploaded by:
Matthias Klose
Uploaded to:
Noble
Original maintainer:
Ubuntu Developers
Architectures:
any
Section:
misc
Urgency:
Medium Urgency

See full publishing history Publishing

Series Pocket Published Component Section
Oracular release universe misc
Noble release universe misc

Downloads

File Size SHA-256 Checksum
ghdl_4.1.0+dfsg.orig.tar.gz 6.9 MiB 2ef5e6e765f3b1789b2ecd83eb16074d7542ecf85f0453509f0a4512f5de520f
ghdl_4.1.0+dfsg-0ubuntu2.debian.tar.xz 26.6 KiB 1b6e2d2bcc30c13c13f83cabfd56fde17823c016f747008b715bbbb2be1cd73d
ghdl_4.1.0+dfsg-0ubuntu2.dsc 2.9 KiB d642d52ac4ae707c1bca128c880b9a38553b4c506cae4e66bf1bfad51d209479

View changes file

Binary packages built by this source

ghdl: VHDL compiler/simulator

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 GHDL offers three machine code generation backends: one based on GCC, one
 using the LLVM compiler suite and a GHDL specific one called mcode. These are
 available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively.
 Both the GCC and LLVM backends create highly optimized code for excellent
 simulation performance while simulations compiled with the GCC backend also
 allow coverage testing using gcov. The mcode backend creates less performant
 code but makes up for it with much faster compilation. It is therefore
 preferable for smaller projects without large or long running simulations.
 .
 Multiple backends can be installed at the same time and selected by either
 invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or
 by providing a GHDL_BACKEND environment variable (containing gcc, llvm or
 mcode) while invoking ghdl.
 .
 This package is a dependency package that will make sure at least one backend
 is installed.

ghdl-common: VHDL compiler/simulator (common files)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains common files for the GHDL compiler packages.

ghdl-gcc: VHDL compiler/simulator (GCC backend)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the compiler with the GCC backend.

ghdl-gcc-dbgsym: debug symbols for ghdl-gcc
ghdl-llvm: VHDL compiler/simulator (LLVM backend)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the compiler with the LLVM backend.

ghdl-llvm-dbgsym: debug symbols for ghdl-llvm
ghdl-mcode: VHDL compiler/simulator (mcode backend)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the compiler with the mcode backend.

ghdl-mcode-dbgsym: debug symbols for ghdl-mcode
ghdl-tools: VHDL compiler/simulator (tools)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains ghwdump, a simple command line tool to extract
 information from GHW files produced by GHDL and display it as text. It is
 mainly intended for use in debugging and is not needed for regular use of
 GHDL, where a wavefile visualization tool like gtkwave would normally be used.

ghdl-tools-dbgsym: debug symbols for ghdl-tools
libghdl-4-1-0: VHDL compiler/simulator (shared library)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the shared library to access GHDL internals.

libghdl-4-1-0-dbgsym: debug symbols for libghdl-4-1-0
libghdl-dev: VHDL compiler/simulator (library development files)

 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the files required to compile programs using libghdl.