haskell-clash-lib 1.8.1-1 source package in Ubuntu

Changelog

haskell-clash-lib (1.8.1-1) unstable; urgency=medium

  * New upstream release (Closes: #1054979)

 -- Scott Talbert <email address hidden>  Wed, 15 Nov 2023 20:58:31 -0500

Upload details

Uploaded by:
Debian Haskell Group
Uploaded to:
Sid
Original maintainer:
Debian Haskell Group
Architectures:
any all
Section:
misc
Urgency:
Medium Urgency

See full publishing history Publishing

Series Pocket Published Component Section

Downloads

File Size SHA-256 Checksum
haskell-clash-lib_1.8.1-1.dsc 6.8 KiB e8f3701fd4b6400d3d04f57f833446b505ce76a37ad79be966f23b0941a5430c
haskell-clash-lib_1.8.1.orig.tar.gz 477.6 KiB c57bc82a2084f6cbb3f086d8319fa0ca53e5c5ede191ff8499bfd4df2e6861e8
haskell-clash-lib_1.8.1-1.debian.tar.xz 4.1 KiB cfd733bbb9dada16df9105a0fdafa21eea0d4ea13d1139daad12926ad086636c

Available diffs

No changes file available.

Binary packages built by this source

haskell-clash-lib-utils: Functional hardware description language - library

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>

libghc-clash-lib-dev: Functional hardware description language - library

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

libghc-clash-lib-doc: Functional hardware description language - library; documentation

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

libghc-clash-lib-prof: Functional hardware description language - library; profiling libraries

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling. See http://www.haskell.org/ for more information on Haskell.