haskell-clash-prelude 1.6.3-2 source package in Ubuntu

Changelog

haskell-clash-prelude (1.6.3-2) unstable; urgency=medium

  * Disable RTS -N

 -- Scott Talbert <email address hidden>  Sun, 21 Aug 2022 13:02:11 -0400

Upload details

Uploaded by:
Debian Haskell Group
Uploaded to:
Sid
Original maintainer:
Debian Haskell Group
Architectures:
any all
Section:
misc
Urgency:
Medium Urgency

See full publishing history Publishing

Series Pocket Published Component Section

Downloads

File Size SHA-256 Checksum
haskell-clash-prelude_1.6.3-2.dsc 5.5 KiB a5eb893f736c58685ef0fc74885e6ee728fa3514aff108b84627b54806f2928a
haskell-clash-prelude_1.6.3.orig.tar.gz 354.1 KiB f5e7ab6309f9b1db73693abd2fcd38c20f1c4e8a5a7b45fd3f12cbb54ca780fa
haskell-clash-prelude_1.6.3-2.debian.tar.xz 8.7 KiB 779a2614198984361e15d0da8cfea3f0eec313258fdebc2457fdcea5a71e2d72

Available diffs

No changes file available.

Binary packages built by this source

libghc-clash-prelude-dev: No summary available for libghc-clash-prelude-dev in ubuntu kinetic.

No description available for libghc-clash-prelude-dev in ubuntu kinetic.

libghc-clash-prelude-doc: No summary available for libghc-clash-prelude-doc in ubuntu kinetic.

No description available for libghc-clash-prelude-doc in ubuntu kinetic.

libghc-clash-prelude-prof: Functional hardware description language - Prelude library; profiling libraries

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * Prelude library containing datatypes and functions for circuit design
 .
 To use the library:
 .
  * Import "Clash.Prelude"
 .
  * Alternatively, if you want to explicitly route clock and reset ports,
 for more straightforward multi-clock designs, you can import the
 "Clash.Explicit.Prelude" module. Note that you should not import
 "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
 have overlapping definitions.
 .
 A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
 general overview of the library you should however check out "Clash.Prelude".
 Some circuit examples can be found in "Clash.Examples".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling. See http://www.haskell.org/ for more information on Haskell.