haskell-clash-prelude 1.8.1-1build1 source package in Ubuntu

Changelog

haskell-clash-prelude (1.8.1-1build1) noble; urgency=medium

  * Rebuild against 'new GHC ABI'.

 -- Gianfranco Costamagna <email address hidden>  Mon, 18 Dec 2023 08:11:31 +0100

Upload details

Uploaded by:
Gianfranco Costamagna
Uploaded to:
Noble
Original maintainer:
Debian Haskell Group
Architectures:
any all
Section:
misc
Urgency:
Medium Urgency

See full publishing history Publishing

Series Pocket Published Component Section
Noble release universe misc

Downloads

File Size SHA-256 Checksum
haskell-clash-prelude_1.8.1.orig.tar.gz 391.8 KiB 7e2cdb7c95e70db6a7247af1bce9c70d2755efcdd99b4e40ae5796c2351dc48f
haskell-clash-prelude_1.8.1-1build1.debian.tar.xz 9.0 KiB 87fdf4d72a284e8b2e03111790013254fd25dd7c3939fb216773371ee21e85f7
haskell-clash-prelude_1.8.1-1build1.dsc 5.7 KiB 9e1dff6375fd0bb94d509b3b61270765913d31021493ab7d754f5aa3b558526f

View changes file

Binary packages built by this source

libghc-clash-prelude-dev: Functional hardware description language - Prelude library

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * Prelude library containing datatypes and functions for circuit design
 .
 To use the library:
 .
  * Import "Clash.Prelude"
 .
  * Alternatively, if you want to explicitly route clock and reset ports,
 for more straightforward multi-clock designs, you can import the
 "Clash.Explicit.Prelude" module. Note that you should not import
 "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
 have overlapping definitions.
 .
 A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
 general overview of the library you should however check out "Clash.Prelude".
 Some circuit examples can be found in "Clash.Examples".
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

libghc-clash-prelude-doc: Functional hardware description language - Prelude library; documentation

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * Prelude library containing datatypes and functions for circuit design
 .
 To use the library:
 .
  * Import "Clash.Prelude"
 .
  * Alternatively, if you want to explicitly route clock and reset ports,
 for more straightforward multi-clock designs, you can import the
 "Clash.Explicit.Prelude" module. Note that you should not import
 "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
 have overlapping definitions.
 .
 A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
 general overview of the library you should however check out "Clash.Prelude".
 Some circuit examples can be found in "Clash.Examples".
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

libghc-clash-prelude-prof: Functional hardware description language - Prelude library; profiling libraries

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * Prelude library containing datatypes and functions for circuit design
 .
 To use the library:
 .
  * Import "Clash.Prelude"
 .
  * Alternatively, if you want to explicitly route clock and reset ports,
 for more straightforward multi-clock designs, you can import the
 "Clash.Explicit.Prelude" module. Note that you should not import
 "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
 have overlapping definitions.
 .
 A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
 general overview of the library you should however check out "Clash.Prelude".
 Some circuit examples can be found in "Clash.Examples".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling. See http://www.haskell.org/ for more information on Haskell.