mighttpd2 binary package in Ubuntu Oracular armhf

 Mighttpd2 (pronounced as "mighty") is a simple but practical HTTP server
 written in Haskell. It handles static files and CGI scripts. It also
 provides reverse proxy functionality.
 .
 Mighttpd2 is now implemented as a WAI application using the high-performance
 HTTP engine, "warp". To httperf Ping-Pong benchmark, Mighttpd2 is faster than
 nginx.

Publishing history

Date Status Target Pocket Component Section Priority Phased updates Version
  2024-05-08 14:04:02 UTC Published Ubuntu Oracular armhf release universe haskell Extra 4.0.3-2
  • Published
  • Copied from ubuntu noble-proposed armhf in Primary Archive for Ubuntu